Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1837274.1837417acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Distributed task migration for thermal management in many-core systems

Published: 13 June 2010 Publication History
  • Get Citation Alerts
  • Abstract

    In the deep submicron era, thermal hot spots and large temperature gradients significantly impact system reliability, performance, cost and leakage power. As the system complexity increases, it is more and more difficult to perform thermal management in a centralized manner because of state explosion and the overhead of monitoring the entire chip. In this paper, we propose a framework for distributed thermal management for many-core systems where balanced thermal profile can be achieved by proactive task migration among neighboring cores. The framework has a low cost agent residing in each core that observes the local workload and temperature and communicates with its nearest neighbor for task migration/exchange. By choosing only those migration requests that will result balanced workload without generating thermal emergency, the proposed framework maintains workload balance across the system and avoids unnecessary migration. Experimental results show that, compared with existing proactive task migration technique, our approach generates less hotspots and smoother thermal gradient with less migration overhead and higher processing throughput.

    References

    [1]
    S. Borkar, "Thousand Core Chips -- A Technology Perspective," In Proc. Design Automation Conference, June 2007.
    [2]
    D. Brooks and M. Martonosi, "Dynamic Thermal Management for High Performance Microprocessors," In Proc. Int. Symp. High Performance Computer Architecture, pages 171--182, Jan. 2001.
    [3]
    D. Brooks, V. Tiwari and M. Martonosi, "Wattch: A Framework for Architectural Level Power Analysis and Optimizations," In Proc. Int. Symp. Computer Architecture, pages 83--94, June 2000.
    [4]
    A. Coskun, T. Rosing and K. Gross, "Proactive Temperature Management in MPSoCs," In Proc. Int. Symp. on Low Power Electronics and Design, pages 165--170, Aug. 2008.
    [5]
    J. Donald and M. Martonosi, "Techniques for Multicore Thermal Management: Classification and New Exploration," In Proc. Int. Symp. Computer Architecture, pages 78--88, June 2006.
    [6]
    T. Ebi, M. Faruque and J. Henekl, "TAPE: Thermal-Aware Agent-Based Power Economy for Muti/Many-Core Architectures", In Proc. Int. Conf. on Computer-Aided Design, pages 302--309, Nov. 2009.
    [7]
    R. Jayaseelan, T. Mitra, "Dynamic Thermal Management via Architectural Adaption", In Proc. Design Automation Conference, pages 484--489, Jul. 2009.
    [8]
    F. Mulas, M. Pittau, M. Buttu, S. Carta, A. Acquaviva, L. Benini, D. Atienza and G. De Micheli, "Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures," In Proc. Design Automation and Test in Europe, pages 734--739, March 2008.
    [9]
    K. Skadron, M. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy and D. Tarjan, "Temperature-Aware Microarchitecture: Modeling and Implementation," ACM Trans. on Architecture and Code Optimization, Vol. 1 Issue 1, pages 94--125, Mar. 2004.
    [10]
    S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, P. Lyer, A. Singh, T. Jacob, S. Jain, S. Venkataraman, Y. Hoskote and N. Borkar "An 80-Tile 1.28 TFLOPS Network-on-Chip in 65nm CMOS," In Proc. Int. Solid-State Circuits Conf., pages 98--589, Feb. 2007.
    [11]
    I. Yeo, C. Liu and E. Kim "Predictive Dynamic Thermal Management for Multicore Systems," In Proc. Design Automation Conf., pages 734--739, June 2008.
    [12]
    L. Shang, L. Peh, A. Kumar and N. Jha, "Thermal Modeling, Characterization and Management of On-chip Networks," In Proc. Int. Symp. Microarchitecture, Dec., 2004.
    [13]
    W. Dally, B. Towles "Route packets, not wires: on-chip interconnection networks," In Proc. Design Automation Conf., Jun. 2001.
    [14]
    S. Liu, J. zhang, Q. Wu and Q. Qiu, "Thermal-Aware Job Allocation and Scheduling for Three Dimensional Chip Multiprocessor," in Proc. International Symposium on Quality Electronic Design, Mar. 2010

    Cited By

    View all
    • (2023)DELICIOUS: Deadline-Aware Approximate Computing in Cache-Conscious MulticoreIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2022.322875134:2(718-733)Online publication date: 1-Feb-2023
    • (2023)Hot-Trim: Thermal and Reliability Management for Commercial Multicore Processors Considering Workload Dependent Hot SpotsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.321655242:7(2290-2302)Online publication date: Jul-2023
    • (2023)Thermal coupling analysis and improved dynamic temperature control algorithm for 3D-LSI2023 International Technical Conference on Circuits/Systems, Computers, and Communications (ITC-CSCC)10.1109/ITC-CSCC58803.2023.10212704(1-6)Online publication date: 25-Jun-2023
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '10: Proceedings of the 47th Design Automation Conference
    June 2010
    1036 pages
    ISBN:9781450300025
    DOI:10.1145/1837274
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 13 June 2010

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. distributed control
    2. dynamic thermal management
    3. prediction

    Qualifiers

    • Research-article

    Funding Sources

    Conference

    DAC '10
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)12
    • Downloads (Last 6 weeks)1

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)DELICIOUS: Deadline-Aware Approximate Computing in Cache-Conscious MulticoreIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2022.322875134:2(718-733)Online publication date: 1-Feb-2023
    • (2023)Hot-Trim: Thermal and Reliability Management for Commercial Multicore Processors Considering Workload Dependent Hot SpotsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.321655242:7(2290-2302)Online publication date: Jul-2023
    • (2023)Thermal coupling analysis and improved dynamic temperature control algorithm for 3D-LSI2023 International Technical Conference on Circuits/Systems, Computers, and Communications (ITC-CSCC)10.1109/ITC-CSCC58803.2023.10212704(1-6)Online publication date: 25-Jun-2023
    • (2022)Future aware Dynamic Thermal Management in CPU-GPU Embedded Platforms2022 IEEE Real-Time Systems Symposium (RTSS)10.1109/RTSS55097.2022.00041(396-408)Online publication date: Dec-2022
    • (2022)Task Migration Policy for Thermal-Aware Dynamic Performance Optimization in Many-Core SystemsIEEE Access10.1109/ACCESS.2022.316261710(33787-33802)Online publication date: 2022
    • (2021)Reinforced Neighborhood Selection Guided Multi-Relational Graph Neural NetworksACM Transactions on Information Systems10.1145/349018140:4(1-46)Online publication date: 1-Dec-2021
    • (2021)Exploring Efficient Architectures on Remote In-Memory NVM over RDMAACM Transactions on Embedded Computing Systems10.1145/347700420:5s(1-20)Online publication date: 22-Sep-2021
    • (2021)Prepare: Power-Aware Approximate Real-time Task Scheduling for Energy-Adaptive QoS MaximizationACM Transactions on Embedded Computing Systems10.1145/347699320:5s(1-25)Online publication date: 17-Sep-2021
    • (2021)Domain-specific Hybrid Mapping for Energy-efficient Baseband Processing in Wireless NetworksACM Transactions on Embedded Computing Systems10.1145/347699120:5s(1-26)Online publication date: 17-Sep-2021
    • (2021)Mitigating the processor aging through dynamic concurrency throttlingJournal of Parallel and Distributed Computing10.1016/j.jpdc.2021.05.006156(86-100)Online publication date: Oct-2021
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media