Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1840845.1840860acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Low power branch prediction for embedded application processors

Published: 18 August 2010 Publication History

Abstract

Modern embedded processors used in media and communication portable devices are now required to execute complex applications and their performance requirements are getting close to the demands of general purpose processors. The performance-per-Watt ratio is an extremely important measure in portable devices because of their limited power capacity. Branch predictors, and especially the BTB, are among the largest on-chip SRAM structures (after caches), and therefore are primary contributors to the total system power. We propose a novel micro-architectural method referred to as Shifted-Index BTB with a Set-Buffer, which reduces both dynamic and static power. Extensive simulations show that up to 80% reduction in dynamic power is achieved at the cost of up to 0.64% system slowdown. 58% reduction is static power is also achieved by applying low-leakage power techniques that mesh well with the Set-Buffer design.

References

[1]
ARM corp. Cortex-A8 Technical Reference Manual, 2006.
[2]
Y.-J. Chang. Lazy BTB: reduce BTB energy consumption using dynamic profiling. In ASP-DAC'06, pages 917--922, 2006.
[3]
D. Chaver, L. Pinuel, M. Prieto, F. Tirado, and M. C. Huang. Branch prediction on demand: an energy-efficient solution. In ISLPED'03, pages 390--395, 2003.
[4]
K. J. Deris and A. Baniasadi. Saba: a zero timing overhead power-aware BTB for high-performance processors. UCAS-2, March 2006.
[5]
D. El-Dib, Z. Abid, and H. Shawkey. Investigating an aggressive mode for drowsy cache cells. In CCECE'08, pages 901--904, 2008.
[6]
K. Flautner, N. S. Kim, S. Martin, D. Blaauw, and T. Mudge. Drowsy caches: simple techniques for reducing leakage power. In ISCA'02, pages 148--157, 2002.
[7]
K. Ghose and M. B. Kamble. Reducing power in superscalar processor caches using subbanking, multiple line bu ers and bit-line segmentation. In ISLPED '99, pages 70--75, 1999.
[8]
Z. Hu, P. Juang, K. Skadron, D. Clark, and M. Martonosi. Applying decay strategies to branch predictors for leakage energy savings. In ICCD'02, page 442, 2002.
[9]
M. C. Huang, D. Chaver, L. Pinuel, M. Prieto, and F. Tirado. Customizing the branch predictor to reduce complexity and energy consumption. IEEE Micro, 23(5):12--25, 2003.
[10]
R. Kahn and S. Weiss. Thrifty BTB: A comprehensive solution for dynamic power reduction in branch target bu ers. Microprocess. Microsyst., 32(8):425--436, 2008.
[11]
R. Kahn and S. Weiss. Reducing leakage power with btb access prediction. Integration, the VLSI Journal, 43(1):49--57, 2010.
[12]
C. H. Kim, S. W. Chung, and C. S. Jhon. A power-aware branch predictor by accessing the BTB selectively. Journal of Computer Science, 20(5):607--614, 2005.
[13]
N. S. Kim, K. Flautner, D. Blaauw, and T. Mudge. Circuit and microarchitectural techniques for reducing cache leakage power. IEEE Trans. Very Large Scale Integr. Syst., 12(2):167--184, 2004.
[14]
R. Kumar, V. Zyuban, and D. M. Tullsen. Interconnections in multi-core architectures: Understanding mechanisms, overheads and scaling. In ISCA'05, pages 408--419, 2005.
[15]
D. Parikh, K. Skadron, Y. Zhang, M. Barcella, and M. R. Stan. Power issues related to branch prediction. In HPCA'02, pages 233--244, 2002.
[16]
D. Parikh, K. Skadron, Y. Zhang, and M. Stan. Power-aware branch prediction: Characterization and design. IEEE Trans. on Computers, 53(2):168--186, 2004.
[17]
P. Petrov and A. Orailoglu. Low-power branch target buffer for application-specific embedded processors. IEE Proceedings - Computers and Digital Techniques, 152(4):482--488, July 2005.
[18]
S. Thoziyoor, N. Muralimanohar, J. H. Ahn, and N. P. Jouppi. CACTI 5.1. HP Laboratories, April 2008.
[19]
W. Zhang and B. Allu. Reducing branch predictor leakage energy by exploiting loops. ACM Trans. Embed. Comput. Syst., 6(2):11, 2007.

Cited By

View all
  • (2024)Enhancing Power Efficiency in Branch Target Buffer Design with a Two-Level Prediction MechanismElectronics10.3390/electronics1307118513:7(1185)Online publication date: 23-Mar-2024
  • (2017)Effective Optimization of Branch Predictors through Lightweight Simulation2017 IEEE International Conference on Computer Design (ICCD)10.1109/ICCD.2017.114(653-656)Online publication date: Nov-2017
  • (2015)Performance improvement using two level branch predictor on the mobile processor2015 IEEE International Conference on Consumer Electronics - Taiwan10.1109/ICCE-TW.2015.7217014(49-50)Online publication date: Jun-2015
  • Show More Cited By

Index Terms

  1. Low power branch prediction for embedded application processors

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISLPED '10: Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
    August 2010
    458 pages
    ISBN:9781450301466
    DOI:10.1145/1840845
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    In-Cooperation

    • IEEE CAS

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 18 August 2010

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. ARM cortex
    2. BTB
    3. battery
    4. embedded
    5. mobile
    6. power

    Qualifiers

    • Research-article

    Conference

    ISLPED'10
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 398 of 1,159 submissions, 34%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)5
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 30 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Enhancing Power Efficiency in Branch Target Buffer Design with a Two-Level Prediction MechanismElectronics10.3390/electronics1307118513:7(1185)Online publication date: 23-Mar-2024
    • (2017)Effective Optimization of Branch Predictors through Lightweight Simulation2017 IEEE International Conference on Computer Design (ICCD)10.1109/ICCD.2017.114(653-656)Online publication date: Nov-2017
    • (2015)Performance improvement using two level branch predictor on the mobile processor2015 IEEE International Conference on Consumer Electronics - Taiwan10.1109/ICCE-TW.2015.7217014(49-50)Online publication date: Jun-2015
    • (2015)Mobile ecosystem driven application-specific low-power control microarchitectureProceedings of the 2015 33rd IEEE International Conference on Computer Design (ICCD)10.1109/ICCD.2015.7357186(720-727)Online publication date: 18-Oct-2015
    • (2013)Adaptive Rapid Reconfigurable Algorithm for Low Power CacheProceedings of the 2013 International Conference on Computational and Information Sciences10.1109/ICCIS.2013.61(203-206)Online publication date: 21-Jun-2013

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media