Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1840845.1840883acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
poster

RAPL: memory power estimation and capping

Published: 18 August 2010 Publication History
  • Get Citation Alerts
  • Abstract

    The drive for higher performance and energy efficiency in data-centers has influenced trends toward increased power and cooling requirements in the facilities. Since enterprise servers rarely operate at their peak capacity, efficient power capping is deemed as a critical component of modern enterprise computing environments. In this paper we propose a new power measurement and power limiting architecture for main memory. Specifically, we describe a new approach for measuring memory power and demonstrate its applicability to a novel power limiting algorithm. We implement and evaluate our approach in the modern servers and show that we achieve up to 40% lower performance impact when compared to the state-of-art baseline across the power limiting range.

    References

    [1]
    U.S. EPA, "Report to congress on server and data center energy efficiency," Tech. Rep., Aug. 2007
    [2]
    J.G. Koomey, "Estimating Total Power Consumption by Servers in the U.S. and the World"; http://enterprise.amd.com/Downloads/svrpwrusecompletefinal.pdf.
    [3]
    P. Bohrer, E. Elnozahy, T. Keller, M. Kistler, C. Lefurgy, and R. Rajamony, "The case for power management in web servers," Power Aware Computing, Jan 2002.
    [4]
    Intel, "First the Tick, Now the Tock: Intel Microarchitecture (Nehalem)," 2009.
    [5]
    L. Barroso and U. Hĺolzle, "The case for energy-proportional computing," IEEE Computer, Jan 2007.
    [6]
    Xiaobo Fan, Wolf-Dietrich Weber, Luiz Andre Barroso, "Power provisioning for a warehouse-sized computer," in Proc. of ISCA, June 09--13, 2007.
    [7]
    C. Lefurgy, X. Wang, and M. Ware, "Server-level power control," in Proc. of the IEEE International Conference on Autonomic Computing, Jan 2007.
    [8]
    V. Kontorinis, A. Shayan, R. Kumar and D. Tullsen, "Reducing Peak Power with a Table-Driven Adaptive Processor Core," In Proc. of the International Symposium on Microarchitecture, 2009
    [9]
    K. Meng, R. Joseph, R. P. Dick, and L. Shang, "Multi-optimization power management for chip multiprocessors," In Proc. of PACT, 2008.
    [10]
    C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi, "An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget," In Proc. of the International Symposium on Microarchitecture, 2006.
    [11]
    W. Felter, K. Rajamani, T. Keller, and C. Rusu, "A Performance-Conserving Approach for Reducing Peak Power Consumption in Server Systems," In Proceedings of ICS, June 2005.
    [12]
    B. Diniz, D. Guedes, J. Wagner Meira, and R. Bianchini, "Limiting the power consumption of main memory," In Proc. of the 34th Annual International Symposium on Computer Architecture, pages 290--301, 2007.
    [13]
    J. Lin, H. Zheng, Z. Zhu, E. Gorbatov, H. David, and Z. Zhang, "Software thermal management of DRAM memory for multicore systems," In Proc. of the 2008 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, pages 337--348, 2008.
    [14]
    W. Bircher and L. John, "Complete System Power Estimation: A Trickle-Down Approach Based on Performance Events," in Proc. of 2007 IEEE Int'l Symp. on Perf. Analysis of Systems and Software, April 2007, pp. 158--168.
    [15]
    C. Lefurgy, K. Rajamani, F. L. Rawson III, W. Felter, M. Kistler, and T. W. Keller, "Energy management for commercial servers," IEEE Computer, 36(12):39--48, 2003.
    [16]
    Bianchini R. and Rajamony R, "Power and Energy Management for Server Systems," Computer 37, pp. 68--74, Nov. 2004.
    [17]
    Intel Xeon Processor 5500 Series EMTS
    [18]
    D. Goldberg, Genetic Algorithm in Search, Optimization and machine learning, Addison-Wesley Publishing Company, Inc., 1989.
    [19]
    C. Isci and M. Martonosi, "Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data," In Proceedings of the ACM/IEEE International Symposium on Microarchitecture (MICRO-36), San Diego, CA, Dec. 2003.
    [20]
    Frank Bellosa, "The Benefits of Event-Driven Energy Accounting in Power-Sensitive Systems," ACM SIGOPS European Workshop, September 2000.
    [21]
    Intel Memory 3-sigma Power Analysis Methodology, http://edc.intel.com/Platforms/Xeon-5500/#hardware
    [22]
    Micron Technology, Inc. TN-41-01: Calculating Memory System Power For DDR3, Aug. 2007.

    Cited By

    View all
    • (2024)Quality-Aware Signal Processing Mechanism of PPG Signal for Long-Term Heart Rate MonitoringSensors10.3390/s2412390124:12(3901)Online publication date: 16-Jun-2024
    • (2024)Power Consumption Trends in Supercomputers: A Study of NERSC's Cori and Perlmutter MachinesISC High Performance 2024 Research Paper Proceedings (39th International Conference)10.23919/ISC.2024.10528943(1-10)Online publication date: May-2024
    • (2024)Towards Energy-Aware Execution and Offloading of Serverless FunctionsProceedings of the 4th Workshop on Flexible Resource and Application Management on the Edge10.1145/3659994.3660313(23-30)Online publication date: 3-Jun-2024
    • Show More Cited By

    Index Terms

    1. RAPL: memory power estimation and capping

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISLPED '10: Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
      August 2010
      458 pages
      ISBN:9781450301466
      DOI:10.1145/1840845
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      In-Cooperation

      • IEEE CAS

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 18 August 2010

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. DRAM memory
      2. measurements
      3. power

      Qualifiers

      • Poster

      Conference

      ISLPED'10
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 398 of 1,159 submissions, 34%

      Upcoming Conference

      ISLPED '24

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)182
      • Downloads (Last 6 weeks)26
      Reflects downloads up to 27 Jul 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)Quality-Aware Signal Processing Mechanism of PPG Signal for Long-Term Heart Rate MonitoringSensors10.3390/s2412390124:12(3901)Online publication date: 16-Jun-2024
      • (2024)Power Consumption Trends in Supercomputers: A Study of NERSC's Cori and Perlmutter MachinesISC High Performance 2024 Research Paper Proceedings (39th International Conference)10.23919/ISC.2024.10528943(1-10)Online publication date: May-2024
      • (2024)Towards Energy-Aware Execution and Offloading of Serverless FunctionsProceedings of the 4th Workshop on Flexible Resource and Application Management on the Edge10.1145/3659994.3660313(23-30)Online publication date: 3-Jun-2024
      • (2024)VESTA: Power Modeling with Language Runtime EventsProceedings of the ACM on Programming Languages10.1145/36564028:PLDI(621-646)Online publication date: 20-Jun-2024
      • (2024)Empirical Evaluation of ML Models for Per-Job Power PredictionCompanion of the 15th ACM/SPEC International Conference on Performance Engineering10.1145/3629527.3651418(181-188)Online publication date: 7-May-2024
      • (2024)Hybrid Blended Deep Learning Approach for Milk Quality AnalysisIEEE Transactions on Emerging Topics in Computational Intelligence10.1109/TETCI.2024.33693318:3(2253-2268)Online publication date: Jun-2024
      • (2024)Extended Signaling Methods for Reduced Video Decoder Power Consumption Using Green MetadataIEEE Transactions on Circuits and Systems II: Express Briefs10.1109/TCSII.2023.332840571:3(1141-1145)Online publication date: Mar-2024
      • (2024)A Comprehensive Review of Software and Hardware Energy Efficiency of Video Decoders2024 Picture Coding Symposium (PCS)10.1109/PCS60826.2024.10566363(1-5)Online publication date: 12-Jun-2024
      • (2024)Measuring and Improving the Energy Efficiency of Large Language Models InferenceIEEE Access10.1109/ACCESS.2024.340974512(80194-80207)Online publication date: 2024
      • (2024)Energy efficient power cap configurations through Pareto front analysis and machine learning categorizationCluster Computing10.1007/s10586-023-04151-227:3(3433-3449)Online publication date: 1-Jun-2024
      • Show More Cited By

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media