Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1840845.1840884acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
poster

Energy efficient proactive thermal management in memory subsystem

Published: 18 August 2010 Publication History

Abstract

Energy management of memory subsystem is challenging due to performance and thermal constraints. Big energy gains can be obtained by clustering memory accesses, however this also leads to a higher need for cooling due to larger temperatures in active areas of memory. Our solution to memory thermal management problem is based on proactive thermal management that intelligently allocates workload pages to few memory units and powers down rest of the memory. Our experimental results show that this approach improves energy savings by 43% and reduces performance overhead by 85% with respect to the state of the art polices.

References

[1]
www.micron.com/products/dram/.
[2]
www.sunon.com.
[3]
L. Barroso and U. Holzle. The case for energy-proportional computing. Computer, 40(12):33--37, 2007.
[4]
N. Binkert, R. Dreslinski, L. Hsu, K. Lim, A. Saidi, and S. Reinhardt. The m5 simulator: Modeling networked systems. IEEE Micro, 26(4):52--60, 2006.
[5]
H. Chiueh, L. Luh, J. Draper, and J. Choma. A novel fully integrated fan controller for advanced computer systems. SSMSD, pages 191--194, 2000.
[6]
G. Dhiman, R. Ayoub, and T. Rosing. Pdram: a hybrid pram and dram main memory system. DAC, pages 664--469, 2009.
[7]
X. Fan, C. Ellis, and A. Lebeck. Memory controller policies for dram power management. ISLPED, pages 129--134, 2001.
[8]
H. Hai, S. Kang, L. Charles, and K. Tom. Improving energy efficiency by making dram less randomly accessed. ISLPED, pages 393--398, 2005.
[9]
C. Lefurgy, K. Rajamani, F. Rawson, W. Felter, M. Kistler, and T. Keller. Energy management for commercial servers. IEEE Computer, pages 39--48, 2003.
[10]
C.-H. Lin, C.-L. Yang, and K.-J. King. Ppt: Joint performance/power/thermal management of dram memory for multi-core systems. ISLPED, pages 93--98, 2009.
[11]
J. Lin, H. Zheng, Z. Zhu, H. David, and Z. Zhang. Thermal modeling and management of dram memory systems. ISCA, pages 312--322, 2007.
[12]
G. Paparrizos. An integrated fan speed control solution can lower system costs, reduce acoustic noise, power consumption and enhance systemreliability. Technical report, Microchip Technology Inc, 2003.
[13]
M. Patterson. The effect of data center temperature on energy efficiency. Proc. ITHERM, pages 1167--1174, 2008.
[14]
M. Pedram and S. Nazarian. Thermal modeling, analysis, and management in vlsi circuits: principles and methods. Proceedings of the IEEE, pages 1487--1501, 2006.
[15]
K. Skadron, M. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, and D. Tarjan. Temperature-aware microarchitecture: Modeling and implementation. TACO, pages 94--125, 2004.

Cited By

View all

Index Terms

  1. Energy efficient proactive thermal management in memory subsystem

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISLPED '10: Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
    August 2010
    458 pages
    ISBN:9781450301466
    DOI:10.1145/1840845
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    In-Cooperation

    • IEEE CAS

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 18 August 2010

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. energy
    2. memory subsystem
    3. performance
    4. proactive
    5. thermal management

    Qualifiers

    • Poster

    Conference

    ISLPED'10
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 398 of 1,159 submissions, 34%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 03 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2021)Energy efficiency in cloud computing data center: a survey on hardware technologiesCluster Computing10.1007/s10586-021-03431-zOnline publication date: 21-Oct-2021
    • (2019)PredictNcoolACM Transactions on Embedded Computing Systems10.1145/335820818:5s(1-22)Online publication date: 8-Oct-2019
    • (2019)Energy Consumption in Compact Integer Vectors: A Study CaseIEEE Access10.1109/ACCESS.2019.29496557(155625-155636)Online publication date: 2019
    • (2018)Trends in On-chip Dynamic Resource Management2018 21st Euromicro Conference on Digital System Design (DSD)10.1109/DSD.2018.00025(62-69)Online publication date: Aug-2018
    • (2018)Co‐scheduling of data intensive jobs and processor redistribution under temperature constraintsConcurrency and Computation: Practice and Experience10.1002/cpe.503332:4Online publication date: 30-Oct-2018
    • (2017)Integrating DRAM power-down modes in gem5 and quantifying their impactProceedings of the International Symposium on Memory Systems10.1145/3132402.3132444(86-95)Online publication date: 2-Oct-2017
    • (2017)Processor/memory Co-Scheduling using periodic resource server for real-time systems under peak temperature constraints2017 18th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED.2017.7918342(360-366)Online publication date: Mar-2017
    • (2016)Opportunities of synergistically adjusting voltage-frequency levels of cores and DRAMs in CMPs with 3d-stacked DRAMs for efficient thermal controlACM SIGAPP Applied Computing Review10.1145/2924715.292471816:1(26-35)Online publication date: 14-Apr-2016
    • (2015)Thermal/performance characterization of CMPs with 3D-stacked DRAMs under synergistic voltage-frequency control of cores and DRAMsProceedings of the 2015 Conference on research in adaptive and convergent systems10.1145/2811411.2811515(430-436)Online publication date: 9-Oct-2015
    • (2015)RC-Based Temperature Prediction Scheme for Proactive Dynamic Thermal Management in Throttle-Based 3D NoCsIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2014.230820626:1(206-218)Online publication date: 1-Jan-2015
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media