Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1840845.1840889acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
poster

Workload-adaptive process tuning strategy for power-efficient multi-core processors

Published: 18 August 2010 Publication History
  • Get Citation Alerts
  • Abstract

    As more devices are integrated with technology scaling, reducing the power consumption of both high-performance and low-power processors has become the first-class design constraint. Reducing power consumption while satisfying required performance is critical for increasing the operating time of mobile devices and lowering the operating cost of offices and data centers. Meanwhile, dynamic voltage and frequency scaling (DVFS) and clock-gating (CG) techniques have been widely used for two of the most powerful techniques to reduce the power consumption of such processors. Depending on performance and power demands, a processor runs at various performance and power states to trade power with performance. In this paper, we propose process tuning strategy to minimize the average power consumption of multi-core processors that use the DVFS and CG techniques, while providing the same maximum performance. The proposed optimization method incorporates with workload characteristics of commercial high-performance and low-power multi-core processors. The experimental results show that our optimized 32nm technologies for workstation, mobile, and server multi-core processors minimize the average power by up to 13, 18, and 9%, respectively.

    References

    [1]
    K. Roth et al. Energy consumption by office and telecommunications equipment in commercial buildings. Arthur D. Little Inc., reference: 72895-00, Cambridge, MA, 2002.
    [2]
    http://www.amd.com/us-en/Corporate/VirtualPressRoom/0,51_104_543 115850,00.htm.
    [3]
    T. Pering et al. The simulation and evaluation of dynamic voltage scaling algorithms. In Proc. of ACM ISLPED, pp. 76--81, 1998.
    [4]
    L. Clark et al. An embedded 32-bit microprocessor core for low-power and high-performance applications. IEEE JSSC, 36(11):1599--1608, 2001.
    [5]
    S. Gunther et al. Managing the impact of increasing microprocessor power consumption. Intel Technology Journal, 5:(1):1--9, 2001.
    [6]
    W. Zhao, X. Li, M. Nowak, and Y. Cao. Predictive technology modeling for 32nm low power design. In Proc. of IEEE ISDRS, TA4-03, 2007.
    [7]
    C. Isci et al. An analysis of efficient multi-core global power management policies: maximizing performance for a given power budget. In Proc. of IEEE MICRO, pp. 347--358, 2006.
    [8]
    Intel Turbo Boost Technology in Intel Core Micro-architecture (Nehalem) Based Processors. White Paper, 2008.
    [9]
    J. Lee et al. Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating. In Proc. of IEEE DAC, pp. 47--50, 2009.
    [10]
    S. Bhardwaj et al. A unified approach to full chip statistical timing and leakage analysis of nanoscale circuits considering intra-die variations. IEEE TCAD, 27(10):1812--1825, 2008.
    [11]
    http://www.acpi.inf.
    [12]
    http://www.bapco.co.
    [13]
    www.spec.org/power_ssj200.
    [14]
    D. Kim et al. Memory-centric network-on-chip for power efficient execution of task-level pipeline on a multi-core processor. IET Computers. & Digital. Techniques, 3(5):513--524, 2009.
    [15]
    W. Zhao, et al. New generation of predictive technology model for sub-45nm design exploration. IEEE TED, 53(11): 2816--2823, 2006.
    [16]
    Z.-H. Liu, et al. Threshold voltage model for deep-submicrometer MOSFET's. IEEE TED, vol. 40, no. 1, pp. 86--95, 1993.
    [17]
    W. Zhao, X. Li, M. Nowak, and Y. Cao, Predictive technology modeling for 32nm low power design. In Proc. of IEEE ISDRS, TA4-03, 2007.
    [18]
    http://msdn.microsoft.com/en-us/performance/default.asp.
    [19]
    K. Aygun et al. Power delivery for high-performance microprocessor. Intel Technology Journal, 9(4): 273--283, 2005.
    [20]
    W. Kim et al. System level analysis of fast, per-core DVFS using on-chip switching regulators. In Proc. of IEEE/ACM HPCA, pp. 123--134, 2008.
    [21]
    N. Magen et al. Interconnect-power dissipation in a microprocessor. In Proc. of ACM SLIP, pp. 7--13, 2004.

    Cited By

    View all
    • (2020)Real-Time Task Schedulers for a High-Performance Multi-Core SystemAutomatic Control and Computer Sciences10.3103/S014641162004009454:4(291-301)Online publication date: 14-Sep-2020
    • (2014)Towards platform level power management in mobile systems2014 27th IEEE International System-on-Chip Conference (SOCC)10.1109/SOCC.2014.6948916(146-151)Online publication date: Sep-2014
    • (2011)Technology roadmaps and low power SoC design2011 International Electron Devices Meeting10.1109/IEDM.2011.6131559(15.4.1-15.4.4)Online publication date: Dec-2011

    Index Terms

    1. Workload-adaptive process tuning strategy for power-efficient multi-core processors

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISLPED '10: Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
      August 2010
      458 pages
      ISBN:9781450301466
      DOI:10.1145/1840845
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      In-Cooperation

      • IEEE CAS

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 18 August 2010

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. DVFS
      2. multi-core processor
      3. process parameter tuning

      Qualifiers

      • Poster

      Conference

      ISLPED'10
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 398 of 1,159 submissions, 34%

      Upcoming Conference

      ISLPED '24

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)1
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 27 Jul 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2020)Real-Time Task Schedulers for a High-Performance Multi-Core SystemAutomatic Control and Computer Sciences10.3103/S014641162004009454:4(291-301)Online publication date: 14-Sep-2020
      • (2014)Towards platform level power management in mobile systems2014 27th IEEE International System-on-Chip Conference (SOCC)10.1109/SOCC.2014.6948916(146-151)Online publication date: Sep-2014
      • (2011)Technology roadmaps and low power SoC design2011 International Electron Devices Meeting10.1109/IEDM.2011.6131559(15.4.1-15.4.4)Online publication date: Dec-2011

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media