Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1840845.1840914acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Post-silicon power characterization using thermal infrared emissions

Published: 18 August 2010 Publication History
  • Get Citation Alerts
  • Abstract

    Design-time power analysis is one of the most critical tasks conducted by chip architects and circuit designers. While computer-aided power analysis tools can provide power consumption estimates for various circuit blocks, these estimates can substantially deviate from the actual power consumption of working silicon chips. We propose a novel methodology that provides accurate, detailed post-silicon spatial power estimates using the thermal infrared emissions from the backside of silicon die. We theoretically and empirically demonstrate the inherent difficulties in thermal to power inversion. These difficulties arise from measurement errors and from the inherent spatial low-pass filtering associated with heat diffusion. To address these difficulties we propose new techniques from regularization theory to invert temperature to power. Furthermore, we propose new techniques to compute the emissivities and conductances required for any infrared to power inversion method. To verify our results, a programmable circuit of micro heaters is implemented to create any desired power pattern. The thermal emissions of different known injected power patterns are captured using a state-of-the-art infrared camera, and then our characterization techniques are applied to invert the thermal emissions to power. The estimated power patterns are validated against the injected power patterns to demonstrate the accuracy of our methodology.

    References

    [1]
    G. C. Albright and J. McDonald. Microthermal Imaging in the Infrared. Electronics Cooling, January 1997.
    [2]
    M. Bertero and P. Boccacci. Introduction to Inverse Problems in Imaging. Institute of Physics Publishing, 1998.
    [3]
    D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A Framework for Architectural-Level Power Analysis and Optimizations. In International Symposium on Computer Architecture, pages 83--94, 2000.
    [4]
    K. Etessam-Yazdani, M. Asheghi, and H. Hamann. Investigation of the Impact of Power Granularity on Chip Thermal Modeling Using White Noise Analysis. IEEE Trans on Components and Packaging Technologies, 31(1):211--215, 2008.
    [5]
    H. Hamann, A. Weger, J. Lacey, Z. Hu,and P. Bose. Hotspot-Limited Microprocessors: Direct Temperature and Power Distribution Measurements. IEEE Journal of Solid-State Circuits, 42(1):56--65, 2007.
    [6]
    W. Huan, M. R. Stan, K. Sankaranarayanan, R. J. Ribando, and K. Skadron. Many-Core Design from a Thermal Perspective. In Design Automation Conference, pages 746--749, 2008.
    [7]
    C. Isci, G. Contreras, and M. Martonosi. Live, RuntimePhase Monitoring and Prediction on Real Systems with Application to Dynamic Power Management. In International Symposium on Microarchitecture, pages 359--370, 2006.
    [8]
    S. Lin, G. Chrysler, R. Mahajan, V. De, and K. Banerjee. A Self-Consistent Substrate Thermal Profile Estimation Technique for Nanoscale ICs -- Part I: Electrothermal Couplings and Full-Chip Package Thermal Model. IEEE Transactions on Electron Devices, 54(12):3342--3350, 2007.
    [9]
    F. J. Mesa-Martinez, M. Brown, J. Nayfach-Battilana, and J. Renau. Measuring Performance, Power, and Temperature from Real Processors. In International Symposium on Computer Architecture, pages 1--10, 2007.
    [10]
    M. Pedram and S. Nazarin. Thermal Modeling, Analysis, and Management in VLSI circuits: Principles and Methods. Proceedings of the IEEE, 94(8):1487--1501, 2006.
    [11]
    M. Powell, A. Biswas, J. Emer, and S. Mukherjee. CAMP: A Technique to Estimate per-Structure Power at Run-Time Using a Few Simple Parameters. International Symposium on High Performance Computer Architecture, pages 289--300, 2009.
    [12]
    J. Renau. Personal Communication.
    [13]
    A. Rogalski and K.Chrzanowski. Infrared Devices and Techniques. Opto-Electronics Review, 10(2):111--136, 2002.
    [14]
    A. Srivastava, R. Bai, D. Blaauw, and D. Sylvester. Modeling and Analysis of Leakage Power Considering Within-Die Process Variations. In International Symposium on Low Power Electronics and Design, pages 64--67, 2002.
    [15]
    C. R.Vogel. Computational Methods for Inverse Problems. Society for Industrial and Applied Math, 2002.

    Cited By

    View all
    • (2024)Pre-Silicon Verification and Post-Silicon Validation MethodologiesHeterogeneous SoC Design and Verification10.1007/978-3-031-56152-8_4(85-131)Online publication date: 23-Mar-2024
    • (2023)Accurate On-Chip Thermal Peak Detection Based on Heuristic Algorithms and Embedded Temperature SensorsElectronics10.3390/electronics1213297812:13(2978)Online publication date: 6-Jul-2023
    • (2022)Full-Chip Power Density and Thermal Map Characterization for Commercial Microprocessors Under Heat Sink CoolingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.308808141:5(1453-1466)Online publication date: May-2022
    • Show More Cited By

    Index Terms

    1. Post-silicon power characterization using thermal infrared emissions

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISLPED '10: Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
      August 2010
      458 pages
      ISBN:9781450301466
      DOI:10.1145/1840845
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      In-Cooperation

      • IEEE CAS

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 18 August 2010

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. power characterization
      2. thermal infrared emissions

      Qualifiers

      • Research-article

      Conference

      ISLPED'10
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 398 of 1,159 submissions, 34%

      Upcoming Conference

      ISLPED '24

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)4
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 27 Jul 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)Pre-Silicon Verification and Post-Silicon Validation MethodologiesHeterogeneous SoC Design and Verification10.1007/978-3-031-56152-8_4(85-131)Online publication date: 23-Mar-2024
      • (2023)Accurate On-Chip Thermal Peak Detection Based on Heuristic Algorithms and Embedded Temperature SensorsElectronics10.3390/electronics1213297812:13(2978)Online publication date: 6-Jul-2023
      • (2022)Full-Chip Power Density and Thermal Map Characterization for Commercial Microprocessors Under Heat Sink CoolingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.308808141:5(1453-1466)Online publication date: May-2022
      • (2022)Toward Thermal Imaging Analysis to Characterize Operations of Solid-State Drives via the Temperature Side-Channel2022 56th Asilomar Conference on Signals, Systems, and Computers10.1109/IEEECONF56349.2022.10051994(153-157)Online publication date: 31-Oct-2022
      • (2021)Post-Silicon Heat-Source Identification and Machine-Learning-Based Thermal Modeling Using Infrared Thermal ImagingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.300754140:4(694-707)Online publication date: Apr-2021
      • (2020)FlexWatts: A Power- and Workload-Aware Hybrid Power Delivery Network for Energy-Efficient Microprocessors2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO50266.2020.00088(1051-1066)Online publication date: Oct-2020
      • (2018)Fast and Accurate Emissivity and Absolute Temperature Maps Measurement for Integrated CircuitsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2017.278843726:5(912-923)Online publication date: May-2018
      • (2018)Blind Identification of Thermal Models and Power Sources From Thermal MeasurementsIEEE Sensors Journal10.1109/JSEN.2017.277470418:2(680-691)Online publication date: 15-Jan-2018
      • (2018)Machine Learning on the Thermal Side-Channel: Analysis of Accelerator-Rich Architectures2018 IEEE 36th International Conference on Computer Design (ICCD)10.1109/ICCD.2018.00022(83-91)Online publication date: Oct-2018
      • (2018)Thermal Scans for Detecting Hardware TrojansConstructive Side-Channel Analysis and Secure Design10.1007/978-3-319-89641-0_7(117-132)Online publication date: 11-Apr-2018
      • Show More Cited By

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media