Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1840845.1840938acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Accurate modeling and calculation of delay and energy overheads of dynamic voltage scaling in modern high-performance microprocessors

Published: 18 August 2010 Publication History

Abstract

Dynamic voltage and frequency scaling (DVS) has been studied for well over a decade, and even commercial systems widely support DVS nowadays. Nevertheless, existing DVS transition overhead models do not accurately reflect modern DVS architectures including modern DC-DC converters, PLL (Phase Lock Loop), and voltage and frequency change policies. Incorrect DVS overhead models prevent one from achieving the maximum energy gain, by misleading the DVS control policies. This paper introduces an accurate DVS overhead model, in terms of both energy consumption and time penalty, through detailed observation of modern DVS setups and voltage and frequency change guidelines from vendors. We introduce new major contributors to the DVS overhead including the performance underdrive loss of the DVS-enabled microprocessor, additional inductor IR loss, and so on, as well as consideration of power efficiency from discontinuous-mode DC-DC conversion. Our DVS overhead model enhances the DVS overhead model accuracy from 86% to 238% for Intel Core2 Duo E6850 and LTC3733.

References

[1]
Intel Core2 Extreme Processor QX9000 and Intel Core2 Quad Processor Q9000, Q9000S, Q8000 and Q8000S Series Datasheet. 2009.
[2]
T. Ishihara et al., "Voltage scheduling problem for dynamically variable voltage processors," in Proc. ISLPED, pp. 197--202, 1998.
[3]
W. Kim et al., "Preemption-aware dynamic voltage scaling in hard real-time systems," in Proc. ISLPED, pp. 09--11, 2004.
[4]
Z. Cao et al., "Optimality and improvement of dynamic voltage scaling algorithms for multimedia applications," in Proc. DAC, pp. 179--184, 2008.
[5]
T. D. Burd et al., "Design issues for dynamic voltage scaling," in Proc. ISLPED, pp. 9--14, 2000.
[6]
S. M. Martin et al., "Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads," in Proc. ICCAD, pp. 721--725, 2002.
[7]
T. Sakurai et al., "Alpha-power law mosfet model and its applications to cmos inverterdelay and other formulas," IEEE J. Solid-State Circuits, vol. 25, pp. 584--594, 1990.
[8]
S. Lee et al., "Run-time voltage hopping for low-power real-time systems," in Proc. DAC, pp. 806--809, 2000.
[9]
A. Bashir et al., "Fast Lock Scheme for Phase-Locked Loops," in Proc. CICC, pp. 319--322, 2009.
[10]
J. Pouwelse et al., "Dynamic voltage scaling on a low-power microprocessor," in Proc. MobiCom, pp. 251--259, 2001.
[11]
S. Gochman et al., "Introduction to intel core duo processor architecture," in Intel Technology Journal, vol. 10, pp. 89--97, 2006.
[12]
C. Lichtenau et al., "PowerTune: advanced frequency and power scaling on 64b PowerPC microprocessor," in Proc. ISSCC, pp. 356--357, 2004.
[13]
B. Mochocki et al., "A realistic variable voltage scheduling model for real-time applications," in Proc. ICCAD, pp. 726--731, 2002.
[14]
P. Schaumont et al., "Cooperative multithreading on embedded multiprocessor architectures enables energy-scalable design," in Proc. DAC, pp. 27--30, 2005.
[15]
D. Shin et al., "Optimizing intratask voltage scheduling using profile and data-flow information," IEEE TCAD, vol. 26, pp. 369--385, Feb. 2007.
[16]
P. Pillai et al., "Real-time dynamic voltage scaling for low-power embedded operating systems," in Proc. SOSP, pp. 89--102, 2001.
[17]
LTC3733: 3-Phase Buck Controllers for AMD CPUs. 2003.
[18]
LTSPICE. www.linear.com.
[19]
M. Panagiotidis et al., "Development and use of a regenerative braking model for a parallel hybrid electric vehicle," in SAE International Congress, vol. 109, pp. 1180--1191, 2000.

Cited By

View all
  • (2024)Flip-and-Patch: A fault-tolerant technique for on-chip memories of CNN accelerators at low supply voltageMicroprocessors and Microsystems10.1016/j.micpro.2024.105023106(105023)Online publication date: Apr-2024
  • (2021)Leveraging Automatic High-Level Synthesis Resource Sharing to Maximize Dynamical Voltage Overscaling with Error ControlACM Transactions on Design Automation of Electronic Systems10.1145/347390927:2(1-18)Online publication date: 2-Nov-2021
  • (2021)An Error Compensation Technique for Low-Voltage DNN AcceleratorsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2020.304151729:2(397-408)Online publication date: Feb-2021
  • Show More Cited By

Index Terms

  1. Accurate modeling and calculation of delay and energy overheads of dynamic voltage scaling in modern high-performance microprocessors

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISLPED '10: Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
      August 2010
      458 pages
      ISBN:9781450301466
      DOI:10.1145/1840845
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      In-Cooperation

      • IEEE CAS

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 18 August 2010

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. DC-DC converter
      2. DVFS
      3. DVS overhead model
      4. PLL

      Qualifiers

      • Research-article

      Conference

      ISLPED'10
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 398 of 1,159 submissions, 34%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)11
      • Downloads (Last 6 weeks)1
      Reflects downloads up to 30 Aug 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)Flip-and-Patch: A fault-tolerant technique for on-chip memories of CNN accelerators at low supply voltageMicroprocessors and Microsystems10.1016/j.micpro.2024.105023106(105023)Online publication date: Apr-2024
      • (2021)Leveraging Automatic High-Level Synthesis Resource Sharing to Maximize Dynamical Voltage Overscaling with Error ControlACM Transactions on Design Automation of Electronic Systems10.1145/347390927:2(1-18)Online publication date: 2-Nov-2021
      • (2021)An Error Compensation Technique for Low-Voltage DNN AcceleratorsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2020.304151729:2(397-408)Online publication date: Feb-2021
      • (2021)Special Session: ADAPT: ANN-ControlleD System-Level Runtime Adaptable APproximate CompuTing2021 IEEE 39th International Conference on Computer Design (ICCD)10.1109/ICCD53106.2021.00012(1-4)Online publication date: Oct-2021
      • (2020)Battery Management Technique to Reduce Standby Energy Consumption in Ultra-Low Power IoT and Sensory ApplicationsIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2019.294002267:1(336-345)Online publication date: Jan-2020
      • (2018)Effect of frequency scaling granularity on energy-saving strategiesThe International Journal of High Performance Computing Applications10.1177/1094342018774405(109434201877440)Online publication date: 15-May-2018
      • (2018)Thermal-Aware and DVFS-Enabled Big Data Task Scheduling for Data CentersIEEE Transactions on Big Data10.1109/TBDATA.2017.27636124:2(177-190)Online publication date: 1-Jun-2018
      • (2018)Voltage-stacked GPUsProceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2018.00039(390-402)Online publication date: 20-Oct-2018
      • (2017)Evaluating effects of application based and automatic energy saving strategies on NWChemProceedings of the 25th High Performance Computing Symposium10.5555/3108096.3108112(1-12)Online publication date: 23-Apr-2017
      • (2017)Runtime power-aware energy-saving scheme for parallel applicationsInternational Journal of High Performance Systems Architecture10.1504/IJHPSA.2017.0914837:3(129-139)Online publication date: 1-Jan-2017
      • Show More Cited By

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media