Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Defect-Aware Nanocrossbar Logic Mapping through Matrix Canonization Using Two-Dimensional Radix Sort

Published: 01 August 2011 Publication History

Abstract

Nanocrossbars (i.e., nanowire crossbars) offer extreme logic densities but come with very high defect rates; stuck-open/closed, broken nanowires. Achieving reasonable yield and utilization requires logic mapping that is defect-aware even at the crosspoint level. Such logic mapping works with a defect map per each manufactured chip. The problem can be expressed as matching of two bipartite graphs; one for the logic to be implemented and other for the nanocrossbar. This article shows that the problem becomes a Bipartite SubGraph Isomorphism (BSGI) problem within sub-nanocrossbars free of stuck-closed faults. Our heuristic KNS-2DS is an iterative rough canonizer with approximately O(N2) complexity followed by an O(N3) matching algorithm. Canonization brings a partial or full order to graph nodes. It is normally used for solving the regular Graph Isomorphism (GI) problem, while we apply it to BSGI. KNS stands for K-Neighbor Sort and is used for initializing our main contribution 2-Dimensional-Sort (2DS). 2DS operates on the adjacency matrix of a bipartite graph. Radix-2 2DS solves the problem in the absence of stuck-closed faults. With the addition of Radix-3 and our novel Radix-2.5 sort, we solve problems that also have stuck-closed faults. We offer very short runtimes (due to canonization) compared to previous work and have success on all benchmarks. KNS-2DS is also novel from the perspective of BSGI problem as it is based on canonization but not on a search tree with backtracking.

References

[1]
ACM/SIGDA benchmarks. 1993. LGSynth Benchmarks. http://www.cbl.ncsu.edu/benchmarks/LGSynth93/.
[2]
Babai, L. and Luks, E. 1983. Canonical labeling of graphs. In Proceedings of the ACM Symposium of Theory of Computing. 171--183.
[3]
Bachtold, A., Harley, P., Nakanishi, T., and Dekker, C. 2001. Logic circuits with carbon nanotube transistors. Science 294, 1317--1320.
[4]
Beckman, R. Johnston-Halperin, E., Luo, Y., Green, J. E., and Heath, J. R. 2005. Bridging dimensions: Demultiplexing ultrahigh-density nanowire circuits. Science 310, 465--468.
[5]
Bhaduri, D. 2007. Design and analysis of defect- and fault-tolerant nano-computing systems. Ph.D. dissertation, Virginia Polytechnic Institute and State University.
[6]
Collier, C. P., Wong, E. W., Belohradsky, M., Raymo, F. M., Soddart, J. F., Kuekes, P. J., William, R. S., and Heath, J. R. 1999. Electronically configurable molecular-based logic gates. Science 285, 391--394.
[7]
Cui, Y. and Lieber, C. M. 2001. Functional nanoscale electronic devices assembled using silicon nanowire building blocks. Science 291, 851--853.
[8]
Dehon, A. 2003. Array-based architecture for FET-based nanoscale electronics. IEEE Trans. Nanotechn. 2, 23--32.
[9]
Dehon, A. 2005. Nanowire-based programmable architectures. ACM J. Emerg. Technol. Comput. Syst. 1, 2, 109--162.
[10]
Dehon, A. and Wilson, M. J. 2004. Nanowire-based sublithographic programmable logic arrays. In Proceedings of the ACM International Symposium on Field-Programmable Gate Arrays. 123--132.
[11]
Dehon, A. and Likharev, K. K. 2005. Hybrid CMOS/nanoelectronic digital circuits: Devices, architectures, and design automation. In Proceedings of the International Conference on Computer-Aided Design. 375--382.
[12]
Green, J. E., Choi, J. W., Boukai, A., Bunimovich, Y., Johnston-Halperin, E., Delonno, E., Luo, Y., Sheriff, B. A., Xu, K., Young, S. S., Tseng, H., Fraser, S. J., and Heath, R. J. 2007. A 160-kilobit molecular electronic memory patterned at 1011 bits per square centimeter. Nature 445, 414.
[13]
Ettinger, M. 2002. The complexity of comparing reaction systems. Bioinformatics 18, 3, 465--469.
[14]
He, C. and Jacome, M. F. 2007. Defect-aware high-level synthesis targeted at reconfigurable nanofabrics. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 26, 5, 817--833.
[15]
Heath, J. R., Kuekes, P. J., Snider, G. S., and Williams, R. S. 1998. A defect-tolerant computer architecture: opportunities for nanotechnology. Science 280, 1716--1721.
[16]
Huang, Y., Duan, X., Cui, Y., Lauhon, L. J., Kim, K. H., and Lieber, C. M. 2001. Logic gates and computation from assembled nanowire building blocks. Science 294, 1313--1317.
[17]
Jung, G. Y., Johnston-Halperin, E., Wu, W., Yu, Z., Wang, S. Y., Tong, W. M., Li, Z., Green, J. E., Sheriff, B. A., Boukai, A, Bunimovich, Y., Heath, J. R., and Williams, R. S. 2006. Circuit fabrication at 17 nm half-pitch by nanoimprint lithography. Nano Lett. 6, 351--354.
[18]
Li, Z., Pickett, M. D., Stewart, D., Ohlberg, D. A. A., Li, X., Wu, W., Robinett, W., and Williams, R. S. 2008. Experimental demonstration of a defect-tolerant nanocrossbar demultiplexer. Nanotechnology 19, 1--5.
[19]
Lu, W. and Lieber, C. M. 2007. Nanoelectronics from the bottom up. Nature Materials 6, 841--850.
[20]
Naeimi, H. 2005. A greedy algorithm for tolerating defective crosspoints in NanoPLA design. M.S. thesis, California Institute of Technology.
[21]
Papadimitriou, C. H. 1994. Computational Complexity. Addison-Wesley.
[22]
Rao, W., Orailoglu, A., and Karri, R. 2009. Logic mapping in crossbar-based nanoarchitectures. IEEE Des. Test. Comput. 26, 68--76.
[23]
Rueckes, T., Kim, K., Joselevich, E., Tseng, G. Y., Cheung, C. L., and Lieber, C. M. 2000. Carbon nanotube-based nonvolatile random access memory for molecular computing. Science 289, 94--97.
[24]
Tahoori, M. B. 2005. A mapping algorithm for defect-tolerance of reconfigurable nano-architectures. In Proceedings of the International Conference on Computer-Aided Design. 667--671.
[25]
Tahoori, M. B. 2006. Application-independent defect-tolerance of reconfigurable nano-architectures. ACM J. Emerg. Technol. Comput. Syst. 2, 197--218.
[26]
Ullmann, J. R. 1976. An algorithm for subgraph isomorphism. J. ACM 23, 1, 31--42.
[27]
Wang, Z. and Chakrabarty, K. 2005. Using built-in self-test and adaptive recovery for defect tolerance in molecular electronics-based nanofabrics. In Proceedings of the International Test Conference.
[28]
Whang, D., Jin, S., and Lieber, C. M. 2003. Nanolithography using hierarchically assembled nanowire masks. Nano Lett. 3, 951--954.
[29]
Zheng, Y. and Huang, C. 2009. Defect-aware logic mapping for nanowire-based programmable logic arrays via satisfiability. In Proceedings of the Conference on Design Automation and Test in Europe.
[30]
Ziegler, M. M. and Stan, M. R. 2002. Design and analysis of crossbar circuits for molecular nanoelectronics. In Proceedings of the IEEE International Conference on Nanotechnology. 323--327.

Cited By

View all
  • (2019)A Fast Logic Mapping Algorithm for Multiple-Type-Defect Tolerance in Reconfigurable Nano-Crossbar ArraysIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2017.27554587:4(518-529)Online publication date: 1-Oct-2019
  • (2018)A Novel Defect Tolerance Scheme for Nanocrossbar Architectures with Enhanced EfficiencyMicromachines10.3390/mi1001001410:1(14)Online publication date: 27-Dec-2018
  • (2018)Maximal Defect-Free Component in Nanoscale Crossbar Circuits Amidst Stuck-Open and Stuck-Closed FaultsJournal of Circuits, Systems and Computers10.1142/S0218126619501809Online publication date: 24-Oct-2018
  • Show More Cited By

Index Terms

  1. Defect-Aware Nanocrossbar Logic Mapping through Matrix Canonization Using Two-Dimensional Radix Sort

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Journal on Emerging Technologies in Computing Systems
      ACM Journal on Emerging Technologies in Computing Systems  Volume 7, Issue 3
      August 2011
      69 pages
      ISSN:1550-4832
      EISSN:1550-4840
      DOI:10.1145/2000502
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 01 August 2011
      Accepted: 01 December 2010
      Revised: 01 October 2010
      Received: 01 June 2010
      Published in JETC Volume 7, Issue 3

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. Bipartite subgraph isomorphism
      2. nanotechnology
      3. reconfigurable architectures

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)2
      • Downloads (Last 6 weeks)1
      Reflects downloads up to 16 Oct 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2019)A Fast Logic Mapping Algorithm for Multiple-Type-Defect Tolerance in Reconfigurable Nano-Crossbar ArraysIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2017.27554587:4(518-529)Online publication date: 1-Oct-2019
      • (2018)A Novel Defect Tolerance Scheme for Nanocrossbar Architectures with Enhanced EfficiencyMicromachines10.3390/mi1001001410:1(14)Online publication date: 27-Dec-2018
      • (2018)Maximal Defect-Free Component in Nanoscale Crossbar Circuits Amidst Stuck-Open and Stuck-Closed FaultsJournal of Circuits, Systems and Computers10.1142/S0218126619501809Online publication date: 24-Oct-2018
      • (2018)Stuck-at-close defect propagation and its blocking technique in CMOL cell mappingMicroelectronics Journal10.1016/j.mejo.2017.12.00472(100-108)Online publication date: Feb-2018
      • (2017)A Survey of Fault-Tolerance Algorithms for Reconfigurable Nano-Crossbar ArraysACM Computing Surveys10.1145/312564150:6(1-35)Online publication date: 14-Nov-2017
      • (2017)Permanent and Transient Fault Tolerance for Reconfigurable Nano-Crossbar ArraysIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2016.260280436:5(747-760)Online publication date: 1-May-2017
      • (2016)Defect- and Variation-Tolerant Logic Mapping in Nanocrossbar Using Bipartite Matching and Memetic AlgorithmIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.253089824:9(2813-2826)Online publication date: Sep-2016
      • (2015)A hybrid mapping algorithm for reconfigurable nanoarchitecturesJournal of Engineering Research10.7603/s40632-015-0004-93:1Online publication date: 24-Apr-2015
      • (2015)Fault-tolerant in-memory crossbar computing using quantified constraint solvingProceedings of the 2015 33rd IEEE International Conference on Computer Design (ICCD)10.1109/ICCD.2015.7357090(101-108)Online publication date: 18-Oct-2015
      • (2014)A Fast Extraction Algorithm for Defect-Free Subcrossbar in Nanoelectronic CrossbarACM Journal on Emerging Technologies in Computing Systems10.1145/251713710:3(1-19)Online publication date: 6-May-2014
      • Show More Cited By

      View Options

      Get Access

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media