Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2228360.2228571acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Assessing the performance limits of parallelized near-threshold computing

Published: 03 June 2012 Publication History

Abstract

Supply voltage scaling has stagnated in recent technology nodes, leading to so-called "dark silicon." In this paper, we investigate the limit of voltage scaling together with task parallelization to maintain task completion latency. When accounting for parallelization overheads, minimum task energy is obtained at "near threshold" supply-voltages across 6 commercial technology nodes and provides 4X improvement in overall CMP performance.

References

[1]
G. Moore, "No exponential is forever: But 'forever' can be delayed!" IEEE International Solid-State Circuits Conference Keynote address, 2003.
[2]
N. Weste, D. Harris. CMOS VLSI design: a circuits and systems perspective. (3rd edition), Location: Boston, MA, 2005.
[3]
R. Dennard, F. Gaensslen, V. Rideout, E. Bassous, and A. LeBlanc. "Design of ion-implanted mosfet's with very small physical dimensions," Solid-State Circuits, IEEE Journal of, 9(5):256--268, Oct. 1974.
[4]
S. Sawant, et. al, "A 32nm Westmere-EX Xeon® enterprise processor," Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2011 IEEE International, vol., no., pp. 74--75, 20--24 Feb. 2011
[5]
H. Esmaeilzadeh, E. Blem, R. St. Amant, K. Sankaralingam, and D. Burger, "Dark Silicon and the End of Multicore Scaling," The 38th Annual International Symposium on Computer Architecture ISCA, June 2011.
[6]
A. Chandrakasan, S. Sheng, and R. Brodersen, "Low-power CMOS digital design," JSSC, vol. 27, no. 4, Apr. 1992, pp. 473--484.
[7]
A. Wang and A. Chandrakasan, "A 180mV FFT processor using subthreshold circuit techniques," IEEE International Solid-State Circuits Conference, pp. 292--529, 2004.
[8]
B. Zhai, D. Blaauw, D. Sylvester, and K. Flautner, "Theoretical and practical limits of dynamic voltage scaling," Design Automation Conference, 2004. Proceedings. 41st, pp. 868--873, Jan 1 2004.
[9]
L. Nazhandali, B. Zhai, R. Helfand, M. Minuth, J. Olson, S. Pant, A. Reeves, T. Austin, and D. Blaauw, "Energy Optimization of Subthreshold-Voltage Sensor Processors," The 32nd Annual International Symposium on Computer Architecture ISCA, Madison, Wisconsin USA, June 4--8, 2005.
[10]
J. M. Rabaey, A. P. Chandrakasan, and B. Nikolic, Digital Integrated Circuits: A Design Perspective, Prentice Hall, 2003.
[11]
S. Hanson et al., "Performance and variability optimization strategies in a sub-200mV, 3.5pJ/inst, 11nW subthreshold processor," Symposium on VLSI Circuits, pp. 152--153, 2007.
[12]
M. Seok, S. Hanson, Y. Lin, Z. Foo, D. Kim, Y. Lee, N. Liu, D. Sylvester, D. Blaauw, "The Phoenix Processor: A 30pW platform for sensor applications," IEEE Symposium on VLSI Circuits, pp. 188--189, 2008.
[13]
Amdahl, Gene (1967). "Validity of the Single Processor Approach to Achieving Large-Scale Computing Capabilities". AFIPS Conference Proceedings (30): 483--485.
[14]
N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. D. Hill, and D. A. Wood, "The gem5 simulator," Computer Architecture News (CAN), June 2011.
[15]
G. M. Amdahl. "Validity of the single processor approach to achieving large scale computing capabilities," AFIPS '67 Proceedings, April 1967

Cited By

View all
  • (2024)A Compact DC-DC Converter With Pulse-Counting MPPT and Fast One-Path Self-Startup for Thermal Energy HarvestingIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2024.337700371:5(2457-2470)Online publication date: May-2024
  • (2021)Wide-Range Many-Core SoC Design in Scaled CMOS: Challenges and OpportunitiesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2021.306164929:5(843-856)Online publication date: 1-May-2021
  • (2021)A 617-TOPS/W All-Digital Binary Neural Network Accelerator in 10-nm FinFET CMOSIEEE Journal of Solid-State Circuits10.1109/JSSC.2020.303861656:4(1082-1092)Online publication date: Apr-2021
  • Show More Cited By

Index Terms

  1. Assessing the performance limits of parallelized near-threshold computing

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '12: Proceedings of the 49th Annual Design Automation Conference
    June 2012
    1357 pages
    ISBN:9781450311991
    DOI:10.1145/2228360
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    In-Cooperation

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 03 June 2012

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. low-power design
    2. near-threshold computing
    3. parallelization

    Qualifiers

    • Research-article

    Conference

    DAC '12
    Sponsor:
    DAC '12: The 49th Annual Design Automation Conference 2012
    June 3 - 7, 2012
    California, San Francisco

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)8
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 30 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)A Compact DC-DC Converter With Pulse-Counting MPPT and Fast One-Path Self-Startup for Thermal Energy HarvestingIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2024.337700371:5(2457-2470)Online publication date: May-2024
    • (2021)Wide-Range Many-Core SoC Design in Scaled CMOS: Challenges and OpportunitiesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2021.306164929:5(843-856)Online publication date: 1-May-2021
    • (2021)A 617-TOPS/W All-Digital Binary Neural Network Accelerator in 10-nm FinFET CMOSIEEE Journal of Solid-State Circuits10.1109/JSSC.2020.303861656:4(1082-1092)Online publication date: Apr-2021
    • (2021)Low-Power and Low-Voltage VLSI Circuit Design Techniques for Biomedical ApplicationsUltra-Low-Voltage Frequency Synthesizer and Successive-Approximation Analog-to-Digital Converter for Biomedical Applications10.1007/978-3-030-88845-9_2(23-54)Online publication date: 8-Dec-2021
    • (2020)Challenges and Opportunities in Near-Threshold DNN Accelerators around Timing ErrorsJournal of Low Power Electronics and Applications10.3390/jlpea1004003310:4(33)Online publication date: 16-Oct-2020
    • (2020)Near-Threshold Voltage Design Techniques for Heterogenous Manycore System-on-ChipsJournal of Low Power Electronics and Applications10.3390/jlpea1002001610:2(16)Online publication date: 14-May-2020
    • (2020)Machine Learning for Power, Energy, and Thermal Management on Multicore Processors: A SurveyIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.287816839:1(101-116)Online publication date: Jan-2020
    • (2020)Enabling Energy-Efficient and Reliable Neural Network via Neuron-Level Voltage ScalingIEEE Transactions on Computers10.1109/TC.2020.297315069:10(1460-1473)Online publication date: 1-Oct-2020
    • (2019)Selecting the Optimal Energy Point in Near-Threshold Computing2019 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE.2019.8715211(1691-1696)Online publication date: Mar-2019
    • (2018)Dynamic Choke Sensing for Timing Error Resilience in NTC SystemsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2017.275296326:1(1-10)Online publication date: Jan-2018
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media