Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2380356.2380379acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

Feedback thermal control of real-time systems on multicore processors

Published: 07 October 2012 Publication History
  • Get Citation Alerts
  • Abstract

    Embedded real-time systems face significant challenges in thermal management. While earlier research on feedback thermal control has shown promise in dealing with the uncertainty in thermal characteristics, multicore processors introduce new challenges that cannot be handled by previous solutions designed for single-core processors. Multicore processors require the temperature and real-time performance of multiple cores be controlled simultaneously, leading to multi-input-multi-output control problems with inter-core thermal coupling. Furthermore, current Dynamic Voltage and Frequency Scaling (DVFS) mechanisms only support a finite set of states, leading to discrete control variables that cannot be handled by standard linear control techniques. This paper presents Real-Time Multicore Thermal Control (RT-MTC), a novel feedback thermal control framework pecifically designed for multicore real-time systems. RT-MTC dynamically enforces both the desired temperature set point and the schedulable CPU utilization bound of a multicore processor through DVFS. RT-MTC employs a rigorously designed, efficient controller that can achieve effective thermal control with the small number of frequencies commonly supported by current processors. The robustness and advantages of RT-MTC over existing thermal control approaches are demonstrated through both experiments on an Intel Core 2 Duo processor and simulations under a wide range of uncertainties in power consumption.

    References

    [1]
    http://ark.intel.com/Product.aspx?id=27255.
    [2]
    http://www.spec.org/.
    [3]
    www.lm-sensor.org.
    [4]
    D. Brooks and M. Martonosi. Dynamic thermal management for high-performance microprocessors. In HPCA, 2001.
    [5]
    T. Chantem, R. P. Dick, and X. S. Hu. Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. In DATE, 2008.
    [6]
    J.-J. Chen, C.-M. Hung, and T.-W. Kuo. On the minimization for the instantaneous temperature for periodic real-time tasks. In RTAS, 2007.
    [7]
    J.-J. Chen, S. Wang, and L. Thiele. Proactive speed scheduling for real-time tasks under thermal constraints. In RTAS, 2009.
    [8]
    J. Donald and M. Martonosi. Techniques for multicore thermal management: Classification and new exploration. SIGARCH Comput. Archit. News, 34(2):78--88, 2006.
    [9]
    N. Fisher, J.-J. Chen, S. Wang, and L. Thiele. Thermal-aware global real-time scheduling on multicore systems. In RTAS, 2009.
    [10]
    G. F. Franklin, J. D. Powell, and M. Workman. Digital Control of Dynamic Systems. Addison Wesley Longman, Inc., 1998.
    [11]
    X. Fu, X. Wang, and E. Puster. Dynamic thermal and timeliness guarantees for distributed real-time embedded systems. In RTCSA, 2009.
    [12]
    Y. Fu, N. Kottenstette, Y. Chen, C. Lu, X. D. Koutsoukos, and H. Wang. Feedback Thermal Control for Real-time Systems. RTAS, 2010.
    [13]
    Y. Fu, N. Kottenstette, Y. Chen, C. Lu, X. D. Koutsoukos, and H. Wang. Feedback Thermal Control of Real-time Systems on Multicore Processors. Technical Report WUCSE-2011-3, Washington University in St. Louis, 2011.
    [14]
    M. R. Guthaus, J. S. Ringenberg, D. Ernst, T. M. Austin, T. Mudge, and R. B. Brown. Mibench: A free, commercially representative embedded benchmark suite. In WWC, 2001.
    [15]
    T. Heath, A. P. Centeno, P. George, L. Ramos, Y. Jaluria, and R. Bianchini. Mercury and freon: temperature emulation and management for server systems. In ASPLOS, 2006.
    [16]
    M. Huang, J. Renau, S.-M. Yoo, and J. Torrellas. A framework for dynamic energy efficiency and temperature management. In MICRO, 2000.
    [17]
    W. Huang, M. R. Stan, K. Skadron, K. Sankaranarayanan, S. Ghosh, and S. Velusam. Compact thermal modeling for temperature-aware design. In DAC, 2004.
    [18]
    C. Isci and M. Martonosi. Runtime power monitoring in high-end processors: Methodology and empirical data. In MICRO, 2003.
    [19]
    J. S. Lee, K. Skadron, and S. W. Chung. Predictive temperature-aware DVFS. IEEE Transactions on Computers, 59(1):127--133, 2010.
    [20]
    W. Liao, L. He, and K. M. Lepak. Temperature and supply voltage aware performance and power modeling at microarchitecture level. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 24(7):1042--1053, 2005.
    [21]
    M. Lindberg and K.-E. Årzén. Feedback control of cyber-physical systems with multi resource dependencies and model uncertainties. In RTSS, 2010.
    [22]
    C. Liu and J. Layland. Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment. JACM, 20(1):46--61, 1973.
    [23]
    J. Liu. Real-Time systems. Prentice Hall, 2000.
    [24]
    Y. Liu, H. Yang, R. P. Dick, H. Wang, and L. Shang. Thermal vs energy optimization for dvfs-enabled processors in embedded systems. In ISQED, 2007.
    [25]
    J. M. Maciejowski. Predictive Control with Constraints. Pearson Eduction Limited, Edinburg Gate, England, 2002.
    [26]
    A. Mutapcic, S. Boyd, S. Murali, D. Atienza, G. De Micheli, and R. Gupta. Processor speed control with thermal constraints. Circuits and Systems I: Regular Papers, IEEE Transactions on, 56(9):1994--2008, 2009.
    [27]
    R. Ortega, A. J. Van Der Schaft, I. Mareels, B. Maschke, and L. G. Y. Supelec. Putting energy back in control. Control Systems Magazine, IEEE, 21(2):18--33, 2001.
    [28]
    G. Quan and Y. Zhang. Leakage aware feasibility analysis for temperature-constrained hard real-time periodic tasks. In ECRTS, 2009.
    [29]
    R. Rao, S. B. K. Vrudhula, and C. Chakrabarti. Throughput of multi-core processors under thermal constraints. In ISLPED, pages 201--206, 2007.
    [30]
    K. Skadron, T. F. Abdelzaher, and M. R. Stan. Control-theoretic techniques and thermal-rc modeling for accurate and localized dynamic thermal management. In HPCA, 2002.
    [31]
    J. Srinivasan and S. V. Adve. Predictive dynamic thermal management for multimedia applications. In ICS, 2003.
    [32]
    A. J. van der Schaft. L2-Gain and Passivity in Nonlinear Control. New York:Springer-Verlag, 1999.
    [33]
    S. Wang and R. Bettati. Reactive speed control in temperature-constrained real-time systems. Real-Time Systems, 39(1-3):73--95, 2008.
    [34]
    Y. Wang, K. Ma, and X. Wang. Temperature-constrained power control for chip multiprocessors with online model estimation. SIGARCH Comput. Archit. News, 37(3):314--324, 2009.
    [35]
    F. Zanini, D. Atienza, L. Benini, and G. De Micheli. Multicore Thermal Management with Model Predictive Control. In ECCTD 2009, 2009.
    [36]
    F. Zanini, D. Atienza, and G. De Micheli. A control theory approach for thermal balancing of MPSoC. In ASP-DAC, pages 37--42, 2009.
    [37]
    F. Zanini, C. N. Jones, D. Atienza, and G. De Micheli. Multicore thermal management using approximate explicit Model Predictive Control. In ISCAS, 2010.

    Cited By

    View all
    • (2023)Hybrid Genetic Reinforcement Learning for Generating Run-Time Requirement EnforcersProceedings of the 21st ACM-IEEE International Conference on Formal Methods and Models for System Design10.1145/3610579.3611091(23-35)Online publication date: 21-Sep-2023
    • (2022)Future aware Dynamic Thermal Management in CPU-GPU Embedded Platforms2022 IEEE Real-Time Systems Symposium (RTSS)10.1109/RTSS55097.2022.00041(396-408)Online publication date: Dec-2022
    • (2022)A Thermal-Aware Approach for DVFS-enabled Multi-core Architectures2022 IEEE 24th Int Conf on High Performance Computing & Communications; 8th Int Conf on Data Science & Systems; 20th Int Conf on Smart City; 8th Int Conf on Dependability in Sensor, Cloud & Big Data Systems & Application (HPCC/DSS/SmartCity/DependSys)10.1109/HPCC-DSS-SmartCity-DependSys57074.2022.00286(1904-1911)Online publication date: Dec-2022
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    EMSOFT '12: Proceedings of the tenth ACM international conference on Embedded software
    October 2012
    266 pages
    ISBN:9781450314251
    DOI:10.1145/2380356
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 07 October 2012

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. multicore
    2. real-time systems
    3. thermal control

    Qualifiers

    • Research-article

    Conference

    ESWEEK'12
    ESWEEK'12: Eighth Embedded System Week
    October 7 - 12, 2012
    Tampere, Finland

    Acceptance Rates

    Overall Acceptance Rate 60 of 203 submissions, 30%

    Upcoming Conference

    ESWEEK '24
    Twentieth Embedded Systems Week
    September 29 - October 4, 2024
    Raleigh , NC , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)13
    • Downloads (Last 6 weeks)1

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)Hybrid Genetic Reinforcement Learning for Generating Run-Time Requirement EnforcersProceedings of the 21st ACM-IEEE International Conference on Formal Methods and Models for System Design10.1145/3610579.3611091(23-35)Online publication date: 21-Sep-2023
    • (2022)Future aware Dynamic Thermal Management in CPU-GPU Embedded Platforms2022 IEEE Real-Time Systems Symposium (RTSS)10.1109/RTSS55097.2022.00041(396-408)Online publication date: Dec-2022
    • (2022)A Thermal-Aware Approach for DVFS-enabled Multi-core Architectures2022 IEEE 24th Int Conf on High Performance Computing & Communications; 8th Int Conf on Data Science & Systems; 20th Int Conf on Smart City; 8th Int Conf on Dependability in Sensor, Cloud & Big Data Systems & Application (HPCC/DSS/SmartCity/DependSys)10.1109/HPCC-DSS-SmartCity-DependSys57074.2022.00286(1904-1911)Online publication date: Dec-2022
    • (2021)Thermal-Aware Design and Management of Embedded Real-Time Systems2021 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE51398.2021.9474042(1252-1255)Online publication date: 1-Feb-2021
    • (2021)Dynamic Partitioned Scheduling of Real-Time DAG Tasks on ARM big.LITTLE Architectures*Proceedings of the 29th International Conference on Real-Time Networks and Systems10.1145/3453417.3453442(1-11)Online publication date: 7-Apr-2021
    • (2020)Online Resource Management for Improving Reliability of Real-Time Systems on “Big–Little” Type MPSoCsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.288399039:1(88-100)Online publication date: Jan-2020
    • (2020)Work-in-Progress: Towards a fine-grain thermal model for uniform multi-core processors2020 IEEE Real-Time Systems Symposium (RTSS)10.1109/RTSS49844.2020.00049(403-406)Online publication date: Dec-2020
    • (2020)On Dynamic Thermal Conditions in Mixed-Criticality Systems2020 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS)10.1109/RTAS48715.2020.00009(336-349)Online publication date: Apr-2020
    • (2020)Analysis and elimination of noise-induced temperature error in processor thermal controlReal-Time Systems10.1007/s11241-019-09342-yOnline publication date: 2-Jan-2020
    • (2019)Thermal-aware Real-time Scheduling Using Timed Continuous Petri NetsACM Transactions on Embedded Computing Systems10.1145/332264318:4(1-24)Online publication date: 2-Jul-2019
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media