Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2483028.2483059acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Skew-bounded low swing clock tree optimization

Published: 02 May 2013 Publication History

Abstract

This paper introduces a methodology that optimizes the performance of a low swing clock tree under a skew bound. Low-swing clock trees are preferred for a reduction in the clock switching power, with an expected trade-off in clock slew and skew. In this paper, a heuristic optimization process is introduced that keeps the clock skew under the same skew budget of the originating full-swing clock tree. In this low swing clock optimization, the low power consumption property is preserved. The effect of slew on the logic timing, which is naturally degraded due to low-swing operation, is analyzed within timing slack of some paths in order to highlight the effectiveness of the low swing clock trees in lowering power consumption with limited impact on timing constraints. The experiments performed with the 4 largest ISCAS'89 benchmark circuits operating at 500~MHz, 90~nm technology and 4 different Vdd levels show that the optimized low swing clock tree can achieve an average of upto 11.0% reduction in the power consumption with no more than a skew degradation of 0.5% of the clock period (i.e. within the practical skew budget).

References

[1]
G. Shamanna, N. Kurd, J. Douglas, and M. Morrise, "Scalable, sub-1w, sub-10ps clock skew, global clock distribution architecture for Intel Core i7/i5/i3 microprocessors," in Proceedings of the IEEE Symposium on VLSI Circuits (VLSIC), June 2010, pp. 83--84.
[2]
N. Kurd, J. Barkarullah, R. Dizon, T. Fletcher, and P. Madland, "A multigigahertz clocking scheme for the Pentium 4 microprocessor," IEEE Journal of Solid-State Circuits (JSSC), vol. 36, no. 11, pp. 1647--1653, Nov. 2001.
[3]
D.-J. Lee, M.-C. Kim, and I. Markov, "Low-power clock trees for CPUs," in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov. 2010, pp. 444--451.
[4]
J. Lu, X. Mao, and B. Taskin, "Integrated clock mesh synthesis with incremental register placement," IEEE Transactions on Computer-Aided Design (TCAD), vol. 31, no. 2, pp. 217--227, Feb. 2012.
[5]
Q. Zhu and M. Zhang, "Low-voltage swing clock distribution schemes," in IEEE International Symposium on Circuits and Systems (ISCAS), May 2001, pp. 418--421.
[6]
J. Pangjun and S. Sapatnekar, "Low-power clock distribution using multiple voltages and reduced swings," IEEE Transactions on Very Large Scale Integration (TVLSI) Systems, vol. 10, no. 3, pp. 309--318, June 2002.
[7]
F. Haj Ali Asgari and M. Sachdev, "A low-power reduced swing global clocking methodology," IEEE Transactions on Very Large Scale Integration (TVLSI) Systems, vol. 12, no. 5, pp. 538--545, May 2004.
[8]
D. Markovic, J. Tschanz, and V. De, "Feasibility study of low-swing clocking," in the International Conference on Microelectronics, vol. 2, May 2004, pp. 547--550.
[9]
C. Kim and S.-M. Kang, "A low-swing clock double-edge triggered flip-flop," IEEE Journal of Solid-State Circuits, vol. 37, no. 5, pp. 648--652, May 2002.
[10]
S. Raja, F. Varadi, M. Becer, and J. Geada, "Transistor level gate modeling for accurate and fast timing, noise, and power analysis," in Proceedings of the ACM/IEEE Design Automation Conference (DAC), June 2008, pp. 456--461.
[11]
P. Li and E. Acar, "A waveform independent gate model for accurate timing analysis," in IEEE International Conference on Computer Design (ICCD), Oct. 2005, pp. 363--365.
[12]
Synopsys 90nm Generic Library, Synopsys Inc., 2009.

Cited By

View all
  • (2019)Low Voltage Clock Tree Synthesis with Local Gate ClustersProceedings of the 2019 Great Lakes Symposium on VLSI10.1145/3299874.3318004(99-104)Online publication date: 13-May-2019
  • (2019)Slew Merging Region Propagation for Bounded Slew and Skew Clock Tree SynthesisIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.287457227:1(1-10)Online publication date: Jan-2019
  • (2016)Design Methodology for Voltage-Scaled Clock Distribution NetworksIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.253992624:10(3080-3093)Online publication date: 1-Oct-2016
  • Show More Cited By

Index Terms

  1. Skew-bounded low swing clock tree optimization

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    GLSVLSI '13: Proceedings of the 23rd ACM international conference on Great lakes symposium on VLSI
    May 2013
    368 pages
    ISBN:9781450320320
    DOI:10.1145/2483028
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 02 May 2013

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. clocking
    2. low-power
    3. low-swing
    4. vlsi

    Qualifiers

    • Research-article

    Conference

    GLSVLSI'13
    Sponsor:

    Acceptance Rates

    GLSVLSI '13 Paper Acceptance Rate 76 of 238 submissions, 32%;
    Overall Acceptance Rate 312 of 1,156 submissions, 27%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)1
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 03 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2019)Low Voltage Clock Tree Synthesis with Local Gate ClustersProceedings of the 2019 Great Lakes Symposium on VLSI10.1145/3299874.3318004(99-104)Online publication date: 13-May-2019
    • (2019)Slew Merging Region Propagation for Bounded Slew and Skew Clock Tree SynthesisIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.287457227:1(1-10)Online publication date: Jan-2019
    • (2016)Design Methodology for Voltage-Scaled Clock Distribution NetworksIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.253992624:10(3080-3093)Online publication date: 1-Oct-2016
    • (2015)FinFET-Based Low-Swing ClockingACM Journal on Emerging Technologies in Computing Systems10.1145/270161712:2(1-20)Online publication date: 2-Sep-2015
    • (2014)High Performance Low Swing Clock Tree Synthesis with Custom D Flip-Flop DesignProceedings of the 2014 IEEE Computer Society Annual Symposium on VLSI10.1109/ISVLSI.2014.53(498-503)Online publication date: 9-Jul-2014
    • (2014)Timing characterization of clock buffers for clock tree synthesis2014 IEEE 32nd International Conference on Computer Design (ICCD)10.1109/ICCD.2014.6974686(230-236)Online publication date: Oct-2014
    • (2014)Iterative skew minimization for low swing clocksIntegration10.1016/j.vlsi.2013.10.00747:3(356-364)Online publication date: Jun-2014

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media