Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Complex network-enabled robust wireless network-on-chip architectures

Published: 08 October 2013 Publication History
  • Get Citation Alerts
  • Abstract

    The Network-on-Chip (NoC) paradigm has emerged as a scalable interconnection infrastructure for modern multicore chips. However, with growing levels of integration, the traditional NoCs suffer from high latency and energy dissipation in on-chip data transfer due to conventional multihop metal/dielectric-based interconnects. Three-dimensional integration, on-chip photonics, RF, and wireless links have been proposed as radical low-power and low-latency alternatives to the conventional planar wire-based designs. Wireless NoCs with Carbon NanoTube (CNT) antennas are shown to outperform traditional wire-based NoCs significantly in achievable data rate and energy dissipation. However, such emerging and transformative technologies will be prone to high levels of failures due to various issues related to manufacturing challenges and integration. On the other hand, several naturally occurring complex networks such as colonies of microbes and the World Wide Web are known to be inherently robust against high rates of failures and harsh environments. This article advocates adoption of such complex network-based architectures to minimize the effect of wireless link failures on the performance of the NoC. Through cycle-accurate simulations it is shown that the wireless NoC architectures inspired by natural complex networks perform better than their conventional wired counterparts even in the presence of high degrees of link failures. We demonstrate the robustness of the proposed wireless NoC architecture by incorporating both uniform and application-specific traffic patterns.

    References

    [1]
    Albert, R. and Barabasi, A.-L. 2002. Statistical mechanics of complex networks. Rev. Modern Phys. 74, 47--97.
    [2]
    Benini, L. and De Micheli, G. 2002. Networks on chips: A new soc paradigm. IEEE Comput. 35, 1, 70--78.
    [3]
    Bogdan, P. and Marculescu, R. 2007. Quantum-like effects in network-on-chip buffers behavior. In Proceedings of the IEEE Design Automation Conference (DAC'97). 266--267.
    [4]
    Buchanan, M. 2003. Nexus: Small Worlds and the Groundbreaking Theory of Networks. W. W. Norton and Company.
    [5]
    Chang, M.-C. C. F., Cong, J. J., Kaplan, A., Naik, M., Reinman, G., Socher, E., and Tam, S.-W. 2008. CMP network-on-chip overlaid with multi-band rf-interconnect. In Proceeding of the IEEE International Symposium on High-Performance Computer Architecture (HPCA'08). 191--202.
    [6]
    Chi, H.-C. and Tang, C.-T. 1997. A deadlock-free routing scheme for interconnection networks with irregular topology. In Proceedings of the International Conference on Parallel and Distributed Systems (ICPADS'97). 88--95.
    [7]
    Deb, S., Ganguly, A., Chang, K., Pande, P. P., Belzer, B., and Heo, D. 2010. Enhancing performance of network-on-chip architectures with millimeter-wave wireless interconnects. In Proceedings of the 21st IEEE International Conference on Application-specific Systems Architectures and Processors (ASAP'10). 73--80.
    [8]
    Duato, J., Yalamanchili, S., and Ni, L. M. 2002. Interconnection Networks-An Engineering Approach. Morgan Kaufmann.
    [9]
    Feero, B. and Pande, P. P. 2009. Networks-on-chip in a three-dimensional environment: A performance evaluation. IEEE Trans. Comput. 58, 1, 32--45.
    [10]
    Ganguly, A., Chang, K., Deb, S., Pande, P. P., Belzer, B., and Teuscher, C. 2011. Scalable hybrid wireless network-on-chip architectures for multi-core systems. IEEE Trans. Comput. 60, 10, 1485--1502.
    [11]
    Green, W. M., Rooks, M. J., Sekaric, L., and Vlasov, Y. A. 2007. Ultra-compact, low rf power, 10gb/s silicon mach-zehnder modulator. Optics Express 15, 25, 17106--17113.
    [12]
    Ho, R., Mai, K. W., and Horowitz, M. A. 2001. The future of wires. Proc. IEEE. 89, 4, 490--504.
    [13]
    Huang, Y., Yin, W.-Y., and Liu, Q. H. 2008. Performance prediction of carbon nanotube bundle dipole antennas. IEEE Trans. Nanotechnol. 7, 3, 331--337.
    [14]
    Humphries, M. D. and Gurney, K. 2008. Network ‘small-world-ness’: A quantitative method for determining canonical network equivalence. PLoS ONE 3, 4.
    [15]
    Joshi, A. J., Batten, C., Kwon, Y.-J., Beamer, S., Shamim, I., Asanovic, K., and Stojanovic, V. D. 2009. Silicon-photonic clos networks for global on-chip communication. In Proceedings of the 3rd ACM/IEEE International Symposium on Networks-on-Chip (NoCS'09). 124--133.
    [16]
    Kempa, K., Rybczynski, J., Huang, Z., Gregorczyk, K., Vidan, A., Kimball, B., Carlson, J., Benham, G., Wang, Y., Herczynski, A., and Ren, Z. 2007. Carbon nanotubes as optical antennae. Adv. Mater. 19, 421--426.
    [17]
    Krishna, T. T., Kumar, A., Chiang, P. Y., Erez, M., Peh, L.-S. 2008. NoC with near-ideal express virtual channels using global-line communication. In Proceedings of the IEEE Symposium on High Performance Interconnects (HOTI'08). 11--20.
    [18]
    Kumar, A., Peh, L-S., Kundu, P., and Jha, N. K. 2008. Toward ideal on-chip communication using express virtual channels. IEEE Micro. 28, 1, 80--90.
    [19]
    Kurian, G., Miller, J. E., Psota, J., Eastep, J., Liu, J., Michel, J., Kimerling, L. C., and Agarwal, A. 2010. Atac: A 1000-core cache-coherent processor with on-chip optical network. In Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques (PACT'10).
    [20]
    Lee, S.-B., Tam, S.-W., Pefkianakis, I., Lu, S., Chang, M. F., Guo, C., Reinman, G., Peng, C., Naik, M., Zhang, L., and Cong, J. 2009. A scalable micro wireless interconnect structure for cmps. In Proceedings of the ACM Annual International Conference on Mobile Computing and Networking (MobiCom'09). 20--25.
    [21]
    Ogras, U. Y. and Marculescu, R. 2006. It's a small world after all: NoC performance optimization via long-range link insertion. IEEE Trans. VLSI Syst. 14, 7, 693--706.
    [22]
    Pande, P. P., Grecu, C. S., Jones, M., Ivanov, A., Saleh, R. A. 2005. Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Trans. Comput. 54, 8, 1025--1040.
    [23]
    Park, D., Eachempati, S., Das, R., Mishra, A. K., Yuan, X., Narayanan, V., and Das, C. R. 2008. MIRA: A multi-layered on-chip interconnect router architecture. In Proceedings of the IEEE International Symposium on Computer Architecture (ISCA'08). 251--261.
    [24]
    Pavlidis, V. F. and Friedman, E. G. 2007. 3-D Topologies for networks-on-chip. IEEE Trans. VLSI 15, 10, 1081--1090.
    [25]
    Petermann, T. and De Los Rios, P. 2005. Spatial small-world networks: A wiring cost perspective. http://arxiv.org/pdf/cond-mat/0501420.pdf.
    [26]
    Shacham, A., Bergman, K., and Carloni, L. P. 2008. Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57, 9, 1246--1260.
    [27]
    Teuscher, C. 2007. Nature-inspired interconnects for self-assembled large-scale network-on-chip designs. Chaos 17, 2, 026106.
    [28]
    Tilera Corporation. 2013. http://www.tilera.com.
    [29]
    Vangal, S. R., Howard, J., Ruhl, G., Dighe, S., Wilson, H. A., Tschanz, J. W., Finan, D., Iyer, P., Singh, A. P., Jacob, T., Jain, S., Venkataraman, S., Hoskote, Y. V., and Borkar, N. Y. 2007. An 80-tile 1.28tflops network-on-chip in 65nm cmos. In Proceedings of the IEEE International Solid-State Circuits Conference. 98--589.
    [30]
    Watts, D. J. and Strogatz, S. H. 1998. Collective dynamics of ‘small-world’ networks. Nature 393, 440--442.
    [31]
    Zhao, D. and Wang, Y. 2008. SD-mac: Design and synthesis of a hardware-efficient collision-free qos-aware mac protocol for wireless network-on-chip. IEEE Trans. Comput. 57, 9, 1230--1245.
    [32]
    Zhou, Y., Johnson, J. L., Wu, L., Maley, S. B., Ural, A., and Xie, H. K. 2008. Design and fabrication of microheaters for localized carbon nanotube growth. In Proceedings of the IEEE Conference on Nanotechnology. 452--455.

    Cited By

    View all
    • (2023)Dynamic detection of wireless interface faults and fault-tolerant routing algorithm in WiNoCIntegration10.1016/j.vlsi.2023.02.00890(236-244)Online publication date: May-2023
    • (2023)Wireless Router Placements for Long-Distance Communications in MoCsCSI Transactions on ICT10.1007/s40012-023-00386-x11:2-3(163-175)Online publication date: 23-Aug-2023
    • (2022)Design of a novel congestion-aware communication mechanism for wireless NoC in multicore systemsSignal and Data Processing10.52547/jsdp.19.1.4319:1(43-58)Online publication date: 1-May-2022
    • Show More Cited By

    Index Terms

    1. Complex network-enabled robust wireless network-on-chip architectures

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Journal on Emerging Technologies in Computing Systems
      ACM Journal on Emerging Technologies in Computing Systems  Volume 9, Issue 3
      September 2013
      196 pages
      ISSN:1550-4832
      EISSN:1550-4840
      DOI:10.1145/2533711
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 08 October 2013
      Accepted: 01 April 2012
      Revised: 01 April 2012
      Received: 01 January 2012
      Published in JETC Volume 9, Issue 3

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. Multicore
      2. NoC
      3. carbon nanotube
      4. fault tolerance
      5. small world
      6. wireless links

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Funding Sources

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)7
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 26 Jul 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2023)Dynamic detection of wireless interface faults and fault-tolerant routing algorithm in WiNoCIntegration10.1016/j.vlsi.2023.02.00890(236-244)Online publication date: May-2023
      • (2023)Wireless Router Placements for Long-Distance Communications in MoCsCSI Transactions on ICT10.1007/s40012-023-00386-x11:2-3(163-175)Online publication date: 23-Aug-2023
      • (2022)Design of a novel congestion-aware communication mechanism for wireless NoC in multicore systemsSignal and Data Processing10.52547/jsdp.19.1.4319:1(43-58)Online publication date: 1-May-2022
      • (2020)A Novel Low-Latency Regional Fault-Aware Fault-Tolerant Routing Algorithm for Wireless NoCIEEE Access10.1109/ACCESS.2020.29702158(22650-22663)Online publication date: 2020
      • (2020)A design flow for an optimized congestion-aware application-specific wireless network-on-chip architectureFuture Generation Computer Systems10.1016/j.future.2020.01.001106:C(234-249)Online publication date: 1-May-2020
      • (2019)Design and performance evaluation of Mesh-of-Tree-based hierarchical wireless network-on-chip for multicore systemsJournal of Parallel and Distributed Computing10.1016/j.jpdc.2018.09.008123(100-117)Online publication date: Jan-2019
      • (2017)Genetic algorithm for task mapping in embedded systems on a hierarchical architecture based on wireless network on chip WiNoCDYNA10.15446/dyna.v84n201.5388684:201(202)Online publication date: 10-Jun-2017
      • (2016)A Novel Approach to Optimize Fault-Tolerant Hybrid Wireless Network-on-Chip ArchitecturesACM Journal on Emerging Technologies in Computing Systems10.1145/281457212:4(1-37)Online publication date: 15-Mar-2016
      • (2016)Wireless NoC for VFI-Enabled Multicore Chip DesignIEEE Transactions on Computers10.1109/TC.2015.244172165:4(1323-1336)Online publication date: 1-Apr-2016
      • (2016)Performance evaluation of fractal dimension method based on box-covering algorithm in complex network2016 IEEE 20th International Conference on Computer Supported Cooperative Work in Design (CSCWD)10.1109/CSCWD.2016.7566071(682-686)Online publication date: May-2016
      • Show More Cited By

      View Options

      Get Access

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media