Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Open access

Refresh pausing in DRAM memory systems

Published: 01 February 2014 Publication History

Abstract

Dynamic Random Access Memory (DRAM) cells rely on periodic refresh operations to maintain data integrity. As the capacity of DRAM memories has increased, so has the amount of time consumed in doing refresh. Refresh operations contend with read operations, which increases read latency and reduces system performance. We show that eliminating latency penalty due to refresh can improve average performance by 7.2%. However, simply doing intelligent scheduling of refresh operations is ineffective at obtaining significant performance improvement.
This article provides an alternative and scalable option to reduce the latency penalty due to refresh. It exploits the property that each refresh operation in a typical DRAM device internally refreshes multiple DRAM rows in JEDEC-based distributed refresh mode. Therefore, a refresh operation has well-defined points at which it can potentially be Paused to service a pending read request. Leveraging this property, we propose Refresh Pausing, a solution that is highly effective at alleviating the contention from refresh operations. It provides an average performance improvement of 5.1% for 8Gb devices and becomes even more effective for future high-density technologies. We also show that Refresh Pausing significantly outperforms the recently proposed Elastic Refresh scheme.

References

[1]
Niladrish Chatterjee, Rajeev Balasubramonian, Manjunath Shevgoor, Seth H. Pugsley, Aniruddha N. Udipi, Ali Shafiee, Kshitij Sudan, Manu Awasthi, and Zeshan Chishti. 2012. USIMM: The Utah SImulated Memory Module. Technical Report. University of Utah. UUCS-12-002.
[2]
Vinodh Cuppu, Bruce Jacob, Brian Davis, and Trevor Mudge. 1999. A performance comparison of contemporary DRAM architectures. In ISCA-26.
[3]
Mrinmoy Ghosh and Hsien-Hsin S. Lee. 2007. Smart refresh: An enhanced memory controller design for reducing energy in conventional and 3D die-stacked DRAMs. In MICRO-40.
[4]
Michael Huang, Jose Renau, Seung-Moon Yoo, and Josep Torrellas. 2001. Energy/Performance design of memory hierarchies for processor-in-memory chips. In Revised Papers from the 2nd International Workshop on Intelligent Memory Systems (IMS’00). Springer-Verlag, London, UK, 152--159. http://dl.acm.org/citation.cfm?id=648002.743089
[5]
Ciji Isen and Lizy John. 2009. ESKIMO: Energy savings using Semantic Knowledge of Inconsequential Memory Occupancy for DRAM subsystem. In MICRO-42.
[6]
Bruce L. Jacob, Spencer W. Ng, and David T. Wang. 2008. Memory Systems: Cache, DRAM, Disk. Morgan Kaufmann.
[7]
JEDEC Committee JC-42.3 2012. JESD79-3F. JEDEC Committee JC-42.3, Arlington, VA.
[8]
JEDEC-DDR4. 2011. JS Choi DDR4 Mini Workshop. http://jedec.org/sites/default/files/JS_Choi_DDR4_miniWorkshop.pdf.
[9]
Heesang Kim, Byoungchan Oh, Younghwan Son, Kyungdo Kim, Seon-Yong Cha, Jae-Goan Jeong, Sung-Joo Hong, and Hyungcheol Shin. 2011. Characterization of the variable retention time in dynamic random access memory. IEEE Transactions on Electron Devices 58, 9, 2952--2958.
[10]
Joohee Kim and M. C. Papaefthymiou. 2001. Block-based multi-period refresh for energy efficient dynamic memory. In Proceedings of the 14th Annual IEEE International ASIC/SOC Conference. 193--197.
[11]
Jamie Liu, Ben Jaiyen, Richard Veras, and Onur Mutlu. 2012. RAIDR: Retention-Aware Intelligent DRAM Refresh. In ISCA. 1--12.
[12]
Song Liu, Karthik Pattabiraman, Thomas Moscibroda, and Ben Zorn. 2011. Flikker: Saving DRAM refresh-power through critical data partitioning. In ASPLOS-XVI.
[13]
Micron. 2009. TN-47-16 Designing for High-Density DDR2 Memory. Micron.
[14]
Micron. 2010. MT41J512M4:8Gb QuadDie DDR3 SDRAM Rev. A 03/11. Micron.
[15]
MSC. 2012. Memory Scheduling Championship (MSC). http://www.cs.utah.edu/∼rajeev/jwac12/.
[16]
Prashant Nair, Chia-Chen Chou, and Moinuddin K. Qureshi. 2013. A case for Refresh Pausing in DRAM memory systems. In Proceedings of the 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA’13). 627--638.
[17]
Jeffrey Stuecheli, Dimitris Kaseridis, Hillery C. Hunter, and Lizy K. John. 2010. Elastic refresh: Techniques to mitigate refresh penalties in high density memory. In MICRO-43.
[18]
Shyamkumar Thoziyoor, Jung Ho Ahn, Matteo Monchiero, Jay B. Brockman, and Norman P. Jouppi. 2008a. A comprehensive memory modeling tool and its application to the design and analysis of future memory hierarchies. In Proceedings of the 35th Annual International Symposium on Computer Architecture (ISCA’08). IEEE Computer Society, Washington, DC, 51--62.
[19]
Shyamkumar Thoziyoor, Naveen Muralimanohar, Jung Ho Ahn, and Norman P. Jouppi. 2008b. Cacti 5.1. Technical Report. HP Laboratories, Palo Alto. HPL-2008-20.
[20]
Aniruddha N. Udipi, Naveen Muralimanohar, Niladrish Chatterjee, Rajeev Balasubramonian, Al Davis, and Norman J. Jouppi. 2010. Rethinking DRAM design and organization for energy-constrained multi-cores. In ISCA-37.
[21]
Ravi K. Venkatesan, Stephen Herr, and Eric Rotenberg. 2006. Retention-Aware Placement in DRAM (RAPID): Software methods for quasi-non-volatile DRAM. In HPCA-12.
[22]
Chris Wilkerson, Alaa R. Alameldeen, Zeshan Chishti, Wei Wu, Dinesh Somasekhar, and Shih-lien Lu. 2010. Reducing cache power with low-cost, multi-bit error-correcting codes. In ISCA-37.

Cited By

View all
  • (2023)Constraint Models of SDRAM-Based Arbitrary Waveform GeneratorIEEE Transactions on Instrumentation and Measurement10.1109/TIM.2023.330935572(1-10)Online publication date: 2023
  • (2023)Scalable and Secure Row-Swap: Efficient and Safe Row Hammer Mitigation in Memory Systems2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA56546.2023.10070999(374-389)Online publication date: Feb-2023
  • (2022)HiRA: Hidden Row Activation for Reducing Refresh Latency of Off-the-Shelf DRAM Chips2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO56248.2022.00062(815-834)Online publication date: Oct-2022
  • Show More Cited By

Index Terms

  1. Refresh pausing in DRAM memory systems

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Architecture and Code Optimization
    ACM Transactions on Architecture and Code Optimization  Volume 11, Issue 1
    February 2014
    373 pages
    ISSN:1544-3566
    EISSN:1544-3973
    DOI:10.1145/2591460
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 February 2014
    Accepted: 01 November 2013
    Revised: 01 October 2013
    Received: 01 June 2013
    Published in TACO Volume 11, Issue 1

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Memory scheduling
    2. memory controller

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)213
    • Downloads (Last 6 weeks)36
    Reflects downloads up to 17 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)Constraint Models of SDRAM-Based Arbitrary Waveform GeneratorIEEE Transactions on Instrumentation and Measurement10.1109/TIM.2023.330935572(1-10)Online publication date: 2023
    • (2023)Scalable and Secure Row-Swap: Efficient and Safe Row Hammer Mitigation in Memory Systems2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA56546.2023.10070999(374-389)Online publication date: Feb-2023
    • (2022)HiRA: Hidden Row Activation for Reducing Refresh Latency of Off-the-Shelf DRAM Chips2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO56248.2022.00062(815-834)Online publication date: Oct-2022
    • (2020)Smart Adaptive Refresh for Optimum Refresh Interval Tracking using in-DRAM ECC2020 IEEE 63rd International Midwest Symposium on Circuits and Systems (MWSCAS)10.1109/MWSCAS48704.2020.9184512(822-825)Online publication date: Aug-2020
    • (2019)CROWProceedings of the 46th International Symposium on Computer Architecture10.1145/3307650.3322231(129-142)Online publication date: 22-Jun-2019
    • (2018)Energy-Efficient DRAM Selective Refresh Technique with Page Residence in a Memory Hierarchy of Hardware-Managed TLBIEICE Transactions on Electronics10.1587/transele.E101.C.170E101.C:3(170-182)Online publication date: 2018
    • (2018)DAREInternational Journal of High Performance Computing Applications10.1177/109434201771861232:1(74-88)Online publication date: 1-Jan-2018
    • (2018)AttachéProceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2018.00034(326-338)Online publication date: 20-Oct-2018
    • (2018)Point defect chemistry of donor-doped bismuth titanate ceramicJournal of Materials Science: Materials in Electronics10.1007/s10854-018-0552-5Online publication date: 14-Dec-2018
    • (2017)The Reach Profiler (REAPER)ACM SIGARCH Computer Architecture News10.1145/3140659.308024245:2(255-268)Online publication date: 24-Jun-2017
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Full Access

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media