Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/277651.277695acmconferencesArticle/Chapter ViewAbstractPublication PagesspaaConference Proceedingsconference-collections
Article
Free access

Trace-driven studies of VLIW video signal processors

Published: 01 June 1998 Publication History
First page of PDF

References

[1]
T. Conte, et al., "Instruction fetch mechanisms for VLIW architectures with compressed encodings", IEEE Proc. 29th Intl. Syrup. on Microarchitecture, pp. 201-211, Dec. 1996.
[2]
S. Dutta, "VLSI issues and architectural tradeoffs in advanced video signal processors", Ph.D. Thesis, Princeton Univ., Nov. 1996.
[3]
S. Dutta, A. Wolfe, and W. Wolf, "Design issue for a verylong-instruction-word VLSI video signal processor", VLSI Signal Processing IX, pp. 95-104, Oct. 1996.
[4]
J. Fisher, "Trace scheduling: a technique for global microcode compaction", IEEE Trans. on Computers, C- 30(7), pp. 478-490, July 1981.
[5]
H. Fujiwara, et al., "An all-ASIC implementation of a low bit-rate video codec", IEEE Trans. on Circuits and Systems for Video Tech., 2(2), pp. 907-914, June 1992.
[6]
L. Gwennap, "Digital 21264 sets new standard", Microprocessor Report, 10(14), Oct. 1996.
[7]
L. Gwennap, "Intel's MMX speeds multimedia", Microprocessor Report, 10(3), Mar. 1996.
[8]
L. Gwennap, "UltraSparc adds multimedia instructions", Microprocessor Report, 8(16), pp. 16-18, Dec. 1994.
[9]
C. Hansen, "Architecture of a broadband mediaprocessor", IEEE Proc. COMPCON, Feb. 1996.
[10]
T. Komarek and P. Pirsch, "Array architectures for blockmatching algorithms", IEEE Trans. on Circuits and Systems, 36(i0), pp. 1301-1308, Oct. 1989.
[11]
R. Lee, "Subword parallelism with MAX2", IEEE Micro, 16(4), pp. 51-59, Aug. 1996.
[12]
H. Lekatsas and W. Wolf, "Code compression for embedded systems", to appear in Proc. 35th Design Automation Conference, June 1998.
[13]
S. Purcell, "Mpact2 media processor, balanced 2X performance", SPIE Proc. Multimedia Hardware Architectures, pp. 102-108, Feb. 1997.
[14]
A. Wolfe, J. Fritts, S. Dutta, and E. Fernandes, "Datapath design for a VLIW video signal processor", Proc. 3ra intl. Sym. on High-Performance Computer Architecture, pp. 24- 35, Feb. 1997.
[15]
A. Wolfe, W. Wolf, S. Dutta, and J. Fritts, "Design methodology for programmable video signal processors", SPIE Proc. Multimedia Hardware Architectures, pp. 26-31, Feb. 1997.
[16]
Z. Wu and W. Wolf, "Parallelism analysis of memory system in single-chip VLIW video signal processors", SPIE Proc. Multimedia Hardware Architectures, Jan. 1998.
[17]
M. Yamashina, et al., "A microprogrammble real-time video signal processor (VSP) for motion compensation", tEEE Journal of Solid-State Circuits, 23(4), pp. 907-914, Aug. I988.
[18]
MIPS Technology, Inc., "MIPS R10000 microprocessor user's manual - version 2.0", pp. 1-28, Sept. 1996.
[19]
http://www.c-cube.conV
[20]
http://www.microunity.com/
[21]
htto://www, mpact.com/
[22]
http://W.WW, mpeg.ore_Jindex.html/MS SG/#source
[23]
http://www.ti.com/sc/docs/dsos/oroducts/c8x/index.htm
[24]
http://www.trimedia.philips.com/

Cited By

View all
  • (2000)Alternative architectures for video signal processingProceedings IEEE Computer Society Workshop on VLSI 2000. System Design for a System-on-Chip Era10.1109/IWV.2000.844521(5-8)Online publication date: 2000
  • (1999)Parallel media processors for the billion-transistor eraProceedings of the 1999 International Conference on Parallel Processing10.1109/ICPP.1999.797422(354-362)Online publication date: 1999
  • (1998)Data-path synthesis of VLIW video signal processorsProceedings of the 11th international symposium on System synthesis10.5555/293016.293036(96-101)Online publication date: 2-Dec-1998
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
SPAA '98: Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures
June 1998
312 pages
ISBN:0897919890
DOI:10.1145/277651
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 June 1998

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. MPEG
  2. VLIW
  3. VSP
  4. media processor
  5. parallel architecture
  6. parallelism
  7. trace-driven scheduling
  8. video applications

Qualifiers

  • Article

Conference

SPAA/PODC98

Acceptance Rates

SPAA '98 Paper Acceptance Rate 30 of 84 submissions, 36%;
Overall Acceptance Rate 447 of 1,461 submissions, 31%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)27
  • Downloads (Last 6 weeks)5
Reflects downloads up to 01 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2000)Alternative architectures for video signal processingProceedings IEEE Computer Society Workshop on VLSI 2000. System Design for a System-on-Chip Era10.1109/IWV.2000.844521(5-8)Online publication date: 2000
  • (1999)Parallel media processors for the billion-transistor eraProceedings of the 1999 International Conference on Parallel Processing10.1109/ICPP.1999.797422(354-362)Online publication date: 1999
  • (1998)Data-path synthesis of VLIW video signal processorsProceedings of the 11th international symposium on System synthesis10.5555/293016.293036(96-101)Online publication date: 2-Dec-1998
  • (1998)Design study of shared memory in VLIW video signal processorsProceedings. 1998 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.98EX192)10.1109/PACT.1998.727148(52-59)Online publication date: 1998
  • (1998)Data-path synthesis of VLIW video signal processorsProceedings. 11th International Symposium on System Synthesis (Cat. No.98EX210)10.1109/ISSS.1998.730607(96-101)Online publication date: 1998

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media