Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Open access

Power Efficient Hardware Transactional Memory: Dynamic Issue of Transactions

Published: 28 March 2016 Publication History

Abstract

Transactional Memory (TM) is no longer just an academic interest as industry has started to adopt the idea in its commercial products. In this paper, we propose Dynamic Transaction Issue (DTI), a new scheme that can be easily implemented on top of existing Hardware TM (HTM) systems, provided additional messages. Instead of wasting power and energy in transaction aborts, Dynamic Transaction Issue puts a processor core into a low-power state when there is a reasonable suspicion that the current transaction running on it will be aborted soon in the future.
We have implemented Dynamic Transaction Issue on a cycle-accurate simulator of a multicore processor system with out-of-order superscalar cores, augmented with a power package and a TM package which add accurate dynamic power estimates and a TM framework to the simulator. Our simulation results show that Dynamic Transaction Issue can achieve energy savings up to 37% from the energy consumption of a base machine with no mechanism to suppress useless aborts. We also compare Dynamic Transaction Issue with various alternative hardware TM mechanisms.

References

[1]
C. Scott Ananian, Krste Asanovic, Bradley C. Kuszmaul, Charles E. Leiserson, and Sean Lie. 2005. Unbounded transactional memory. In HPCA 11. 316--327.
[2]
Mohammad Ansari, Mikel Lujan, Chritos Kotselidis, Kim Jarvis, Chris Kirkham, and Ian Watson. 2009. Steal-on-abort: Dynamic transaction reordering to reduce conflicts in transactional memory. In HiPEAC. 4--18.
[3]
Adria Armejach, Anurag Negi, Adrian Cristal, Osman Unsal, Per Stenstrom, and Tim Harris. 2013. HARP: Adaptive abort recurrence prediction for hardware transactional memory. In HiPC’13. 196--205.
[4]
Geoffrey Blake, Ronald G. Dreslinski, and Trevor Mudge. 2009. Proactive transaction scheduling for contention management. In Micro 42. 156--167.
[5]
Jayaram Bobba, Kevin E. Moore, Haris Volos, Luke Yen, Mark D. Hill, Michael M. Swift, and David A. Wood. 2007. Performance pathologies in hardware transactional memory. In ISCA 34. 81--91.
[6]
David Brooks, Vivek Tiwari, and Margaret Martonosi. 2000. Wattch: A framework for architectural-level power analysis and optimizations. In ISCA 27. 83--94.
[7]
Hassan Chafi, Jared Casper, Brian D. Carlstrom, Austen McDonald, Chi Cao Minh, Woongki Baek, Christos Kozyrakis, and Knule Olukotun. 2007. A scalable, non-blocking approach to transactional memory. In HPCA 13. 97--108.
[8]
Luis Ceze, James Tuck, Josep Torrellas, and Calin Cascaval. 2006. Bulk disambiguation of speculative threads in multiprocessors. In ISCA 33. 227--238
[9]
Cesare Ferri, Samantha Wood, Tali Moreshet, R. Iris Bahar, and Maurice Herlihy. 2010. Embedded-TM: Energy and complexity-effective hardware transactional memory for embedded multicore systems. In Journal of Parallel and Distributed Computing (JPDC) 70, 10 (Oct. 2010), 1042--1052.
[10]
Epifanio Gaona, J. Rubén Titos-Gil, Juan Fernández, and Manuel E. Acacio. 2014. Selective dynamic serialization for reducing energy consumption in hardware transactional memory systems. In the Journal of Supercomputing 68, 2 (May 2014), 914--934.
[11]
Lance Hammond, Vicky Wong, Mike Chen, Brian D. Carlstrom, John D. Davis, Ben Hertzberg, Manohar K. Prabhu, Honggo Wijaya, Christos Kozyrakis, and Knule Olukotun. 2004. Transactional memory coherence and consistency. In ISCA 31. 102--113.
[12]
Maurice Herlihy and J. Eliot B. Moss. 1993. Transactional memory: Architectural support for lock-free data structures. In ISCA 20. 289--300.
[13]
H. T. Kung and John T. Robinson. 1981. On optimistic methods for concurrency control. ACM TODS, 6, 2 (Jun. 1981), 213--226.
[14]
Yujie Liu and Michael Spear. 2011. Toxic transactions. In TRANSACT’11.
[15]
Marc Lupon, Grigorios Magklis, and Antonio Gonzalez. 2010. A dynamically adaptable hardware transactional memory. In MICRO-43. 27--38.
[16]
Chi Cao Minh, Jae Woong Chung, Christos Kozyrakis, and Kunle Olukotun. 2008. STAMP: Stanford transactional applications for multi-processing. In IISWC’08.
[17]
Kevin E. Moore, Jayaram Bobba, Michelle J. Moravan, Mark D. Hill, and David A. Wood. 2006. LogTM: Log-based transactional memory. In HPCA 12. 254--265.
[18]
James Poe, Chang-Burm Cho, and Tao Li. 2008. Using analytical model to efficiently explore hardware transactional memory and multi-core co-design. In SBAC-PAD.
[19]
Xuehai Qian, Wonsun Ahn, and Josep Torrellas. 2010. ScalableBulk: Scalable cache coherence for atomic blocks in a lazy environment. In MICRO-43. 447--458.
[20]
Ravi Rajwar, Maurice Herlihy, and Konrad Lai. 2005. Virtualizing transactional memory. In ISCA 32. 494--505.
[21]
Jose Renau, Basilio Fraguela, and Wei Liu. 2005. SESC simulator. Retrieved from http://sourceforge.net/projects/sesc/.
[22]
Sutirtha Sanyal, Sourav Roy, Adrian Cristal, Osman S. Unsal, and Mateo Valero. 2009. Clock gate on abort: Towards energy-efficient hardware transactional memory. In IPDPS’09. 1--8.
[23]
Arrvindh Shriraman, Sandhya Dwarkadas, and Michael L. Scott. 2008. Flexible decoupled transactional memory support. In ISCA 35. 139--150.
[24]
Ruben Titos-Gil, Anurag Negi, Manuel E. Acacio, Jose M. Garcia, and Per Stenstrom. 2011. ZEBRA: A data-centric, hybrid-policy hardware transactional memory design. In ICS’11. 53--62.
[25]
Sasa Tomic, Cristian Perfumo, Chinmay Kulkarni, Adria Armejach, Adrian Cristal, Osman Unsal, Tim Harris, and Mateo Valero. 2009. EazyHTM: Eager-lazy hardware transactional memory. In MICRO-42. 145--155.
[26]
Steven Cameron Woo, Moriyoshi Ohara, Evan Torrie, Jaswinder Pal Singh, and Anoop Gupta. 1995. The SPLASH-2 Programs: Characterization and methodological considerations. In ISCA 22. 24--36.
[27]
Luke Yen, Jayaram Bobba, Michael R. Marty, Kevin E. Moore, Haris Volos, Mark D. Hill, Michael M. Swift, and David A. Wood. 2007. LogTM-SE: Decoupling hardware transactional memory from caches. In HPCA’13. 261--272.
[28]
Richard M. Yoo and Hsien-Hsin S. Lee. 2008. Adaptive transaction scheduling for transactional memory systems. In SPAA’08. 169--178.

Index Terms

  1. Power Efficient Hardware Transactional Memory: Dynamic Issue of Transactions

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Architecture and Code Optimization
      ACM Transactions on Architecture and Code Optimization  Volume 13, Issue 1
      April 2016
      347 pages
      ISSN:1544-3566
      EISSN:1544-3973
      DOI:10.1145/2899032
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 28 March 2016
      Accepted: 01 January 2016
      Revised: 01 January 2016
      Received: 01 May 2015
      Published in TACO Volume 13, Issue 1

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. Transactional memory
      2. dynamic issue
      3. energy consumption
      4. hardware transactional memory
      5. power consumption

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Funding Sources

      • National Science Foundation

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • 0
        Total Citations
      • 404
        Total Downloads
      • Downloads (Last 12 months)79
      • Downloads (Last 6 weeks)23
      Reflects downloads up to 04 Oct 2024

      Other Metrics

      Citations

      View Options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Get Access

      Login options

      Full Access

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media