Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Open access

A New Compilation Flow for Software-Defined Radio Applications on Heterogeneous MPSoCs

Published: 06 June 2016 Publication History
  • Get Citation Alerts
  • Abstract

    The advent of portable software-defined radio (sdr) technology is tightly linked to the resolution of a difficult problem: efficient compilation of signal processing applications on embedded computing devices. Modern wireless communication protocols use packet processing rather than infinite stream processing and also introduce dependencies between data value and computation behavior leading to dynamic dataflow behavior. Recently, parametric dataflow has been proposed to support dynamicity while maintaining the high level of analyzability needed for efficient real-life implementations of signal processing computations.
    This article presents a new compilation flow that is able to compile parametric dataflow graphs. Built on the llvm compiler infrastructure, the compiler offers an actor-based C++ programming model to describe parametric graphs, a compilation front end for graph analysis, and a back end that currently matches the Magali platform: a prototype heterogeneous MPSoC dedicated to LTE-Advanced. We also introduce an innovative scheduling technique, called microscheduling, allowing one to adapt the mapping of parametric dataflow programs to the specificities of the different possible MPSoCs targeted. A specific focus on fifo sizing on the target architecture is presented. The experimental results show compilation of 3gpp lte-advanced demodulation on Magali with tight memory size constraints. The compiled programs achieve performance similar to handwritten code.

    References

    [1]
    Vagelis Bebelis, Pascal Fradet, Alain Girault, and Bruno Lavigueur. 2013a. A framework to schedule parametric dataflow applications on many-core platforms. In Proceedings of the 17th Workshop on Compilers for Parallel Computing (CPC’13).
    [2]
    Vagelis Bebelis, Pascal Fradet, Alain Girault, and Bruno Lavigueur. 2013b. BPDF: A statically analyzable dataflow model with integer and Boolean parameters. In Proceedings of the International Conference on Embedded Software (EMSOFT’13). 1--10.
    [3]
    Mohamed Benazouz, Alix Munier-Kordon, Thomas Hujsa, and Bruno Bodin. 2013. Liveness evaluation of a cyclo-static dataflow graph. In Proceedings of the Annual Design Automation Conference (DAC’13). 3.
    [4]
    Heikki Berg, Claudio Brunelli, and Ulf Lucking. 2008. Analyzing models of computation for software defined radio applications. In Proceedings of the International Symposium on System-on-Chip. 1--4.
    [5]
    B. Bhattacharya and S. S. Bhattacharyya. 2001. Parameterized dataflow modeling for DSP systems. IEEE Transactions on Signal Processing 49, 10, 2408--2421.
    [6]
    Shuvra S. Bhattacharyya, Praveen K. Murthy, and Edward A. Lee. 1999. Synthesis of embedded software from synchronous dataflow specifications. Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology 166, 2, 151--166.
    [7]
    Joseph T. Buck, Soonhoi Ha, Edward A. Lee, and David G. Messerschmitt. 1994. Ptolemy: A framework for simulating and prototyping heterogeneous systems. International Journal of Computer Simulation 4, 155--182.
    [8]
    João M. P. Cardoso, Pedro C. Diniz, and Markus Weinhardt. 2010. Compiling for reconfigurable computing. ACM Computing Surveys 42, 4, 1--65.
    [9]
    Jeronimo Castrillon, Stefan Schürmans, Anastasia Stulova, Weihua Sheng, Torsten Kempf, Rainer Leupers, Gerd Ascheid, and Heinrich Meyr. 2011. Component-based waveform development: The nucleus tool flow for efficient and portable software defined radio. Analog Integrated Circuits and Signal Processing 69, 2--3, 173--190.
    [10]
    Fabien Clermidy, Christian Bernard, Romain Lemaire, Jerome Martin, Ivan Miro-Panades, Yvain Thonnart, Pascal Vivet, and Norbert Wehn. 2010. A 477mW NoC-based digital baseband for MIMO 4G SDR. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC’10). 278--279.
    [11]
    Fabien Clermidy, Romain Lemaire, Xavier Popon, Dimitri Ktenas, and Yvain Thonnart. 2009b. An open and reconfigurable platform for 4G telecommunication: Concepts and application. In Proceedings of the 12th Euromicro Conference on Digital System Design, Architectures, Methods, and Tools. 449--456.
    [12]
    Fabien Clermidy, Romain Lemaire, and Yvain Thonnart. 2009a. A communication and configuration controller for NoC based reconfigurable data flow architecture. In Proceedings of the 3rd ACM/IEEE International Symposium on Networks-on-Chip (NOCS’09). 153--162.
    [13]
    M. Damavandpeyma, S. Stuijk, T. Basten, M. Geilen, and H. Corporaal. 2012. Modeling static-order schedules in synchronous dataflow graphs. In Proceedings of the 2012 Design, Automation, and Test in Europe Conference and Exhibition (DATE’12). 775--780.
    [14]
    Mickaël Dardaillon, Kevin Marquet, Jérôme Martin, Tanguy Risset, and Henri-Pierre Charles. 2013. Cognitive Radio Programming: Existing Solutions and Open Issues. Technical Report. Inria.
    [15]
    Mickaël Dardaillon, Kevin Marquet, Tanguy Risset, Jérôme Martin, and Henri-Pierre Charles. 2014a. A compilation flow for parametric dataflow: Programming model, scheduling, and application to heterogeneous MPSoC. In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES’14).
    [16]
    Mickaël Dardaillon, Kevin Marquet, Tanguy Risset, Jérôme Martin, and Henri-Pierre Charles. 2014b. Compilation for heterogeneous SoCs: Bridging the gap between software and target-specific mechanisms. In Proceedings of the Workshop on High Performance Energy Efficient Embedded Systems (HIPEAC’14).
    [17]
    Pascal Fradet, Alain Girault, and Peter Poplavko. 2012. SPDF: A schedulable parametric data-flow MoC. In Proceedings of the Design, Automation, and Test in Europe Conference and Exhibition (DATE’12). 769--774.
    [18]
    Marc Geilen, Twan Basten, and Sander Stuijk. 2005. Minimising buffer requirements of synchronous dataflow graphs with model checking. In Proceedings of the Conference on Design Automation (DAC’05). 819.
    [19]
    Marc Geilen, Stavros Tripakis, and Maarten Wiggers. 2011. The earlier the better: A theory of timed actor interfaces. In Proceedings of the International Conference on Hybrid Systems: Computation and Control (HSCC’11). 23--32.
    [20]
    Amir Hossein Ghamarian, Marc Geilen, Twan Basten, Bart D. Theelen, Mohammad Reza Mousavi, and Sander Stuijk. 2006. Liveness and boundedness of synchronous data flow graphs. In Proceedings of the Conference on Formal Methods in Computer Aided Design (FMCAD’06). IEEE, Los Alamitos, CA, 68--75.
    [21]
    C. R. A. Gonzalez, C. B. Dietrich, S. Sayed, H. I. Volos, J. D. Gaeddert, P. M. Robert, J. H. Reed, and F. E. Kragh. 2009. Open-source SCA-based core framework and rapid development tools enable software-defined radio education and research. IEEE Communications Magazine 47, 10, 48--55.
    [22]
    Jair Gonzalez-Pina, Rabea Ameur-Boulifa, and Renaud Pacalet. 2012. DiplodocusDF, a domain-specific modelling language for software defined radio applications. In Proceedings of the 38th Euromicro Conference on Software Engineering and Advanced Applications. 1--8.
    [23]
    Thierry Goubier, Renaud Sirdey, Stéphane Louise, and Vincent David. 2011. ΣC: A programming model and language for embedded manycores. In Proceedings of the 11th International Conference on Algorithms and Architectures for Parallel Processing (ICA3PP’11). 385--394.
    [24]
    Pieter H. Hartel and Theo C. Ruys. 2008. Scheduling optimisations for SPIN to minimise buffer requirements in synchronous data flow. In Proceedings of the International Conference on Formal Methods in Computer-Aided Design (FMCAD’08).
    [25]
    Julien Heulot, Maxime Pelcat, Jean-François Nezan, Yaset Oliva, Slaheddine Aridhi, and Shuvra S. Bhattacharyya. 2014. Just-in-time scheduling techniques for multicore signal processing systems. In Proceedings of the Global Conference on Signal and Information Processing (GlobalSIP’14). 25--29.
    [26]
    Gerard J. Holzmann. 2004. The SPIN Model Checker: Primer and Reference Manual. Vol. 1003. Addison-Wesley Reading.
    [27]
    Gerard J. Holzmann and Doron Peled. 1994. An improvement in formal verification. In Proceedings of the International Conference on Formal Description Techniques (FORTE’94). 197--211.
    [28]
    W. M. Johnston, J. R. Paul Hanna, and R. J. Millar. 2004. Advances in dataflow programming languages. ACM Computing Surveys 36, 1, 1--34.
    [29]
    S.-H. Kang, H. Yang, L. Schor, I. Bacivarov, S. Ha, and L. Thiele. 2012. Multi-objective mapping optimization via problem decomposition for many-core systems. In Proceedings of the 2012 IEEE 10th Symposium on Embedded Systems for Real-Time Multimedia (ESTIMedia’12). 28--37.
    [30]
    Michal Karczmarek, William Thies, and Saman Amarasinghe. 2003. Phased scheduling of stream programs. In Proceedings of the Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES’03). 103.
    [31]
    Pjotr Kourzanov, Orlando Moreira, and Henk J. Sips. 2010. Disciplined multi-core programming in C. In Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA’10). 346--354.
    [32]
    Seongnam Kwon, Yongjoo Kim, Woo C. Jeun, Soonhoi Ha, and Yunheung Paek. 2008. A retargetable parallel-programming framework for MPSoC. ACM Transactions on Design Automation of Electronic Systems 13, 3, 1--18.
    [33]
    Chris Lattner. 2011. LLVM and Clang: Advancing compiler technology. In Proceedings of the Free and Open Source Developers’ European Meeting (FOSDEM’11). 234--239.
    [34]
    Chris Lattner and Vikram Adve. 2004. LLVM: A compilation framework for lifelong program analysis and transformation. In Proceedings of the International Symposium on Code Generation and Optimization (CGO’04). 75--86.
    [35]
    Yuan Lin, Robert Mullenix, Mark Woh, Scott Mahlke, Trevor Mudge, Alastair Reid, and Krisztian Flautner. 2006. SPEX: A programming language for software defined radio. In Proceedings of the SDR Forum Technical Conference. 13--17.
    [36]
    Weichen Liu, Zonghua Gu, Jiang Xu, Yu Wang, and Mingxuan Yuan. 2009. An efficient technique for analysis of minimal buffer requirements of synchronous dataflow graphs with model checking. In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS’09). 61--70.
    [37]
    Avinash Malik and David Gregg. 2013. Orchestrating stream graphs using model checking. ACM Transactions on Architecture and Code Optimization 10, 3, 19.
    [38]
    Kevin Marquet and Matthieu Moy. 2010. PinaVM: A SystemC front-end based on an executable intermediate representation. In Proceedings of the International Conference on Embedded Software (EMSOFT’10).
    [39]
    Orlando Moreira, Twan Basten, Marc Geilen, and Sander Stuijk. 2010. Buffer sizing for rate-optimal single-rate data-flow scheduling revisited. IEEE Transactions on Computers 59, 2, 188--201.
    [40]
    Preeti Ranjan Panda. 2001. SystemC—a modeling platform supporting multiple design. In Proceedings of the 14th International Symposium on Systems Synthesis (ISSS’01). 75--80.
    [41]
    Maxime Pelcat, Karol Desnos, Julien Heulot, Clément Guy, Jean-François Nezan, and Slaheddine Aridhi. 2014. PREESM: A dataflow-based rapid prototyping framework for simplifying multicore DSP programming. In Proceedings of the European Embedded Design in Education and Research Conference (EDERC’14). 36--40.
    [42]
    P. Quinton and T. Risset. 2001. Structured scheduling of recurrence equations: Theory and practice. In Embedded Processor Design Challenges. Lecture Notes in Computer Science, Vol. 2268. Springer, 112--134.
    [43]
    Tanguy Risset, Riadh Ben Abdallah, Antoine Fraboulet, and Jérôme Martin. 2011. Programming models and implementation platforms for software defined radio configuration. In Digital Front-End in Wireless Communications and Broadcasting. Cambridge University Press, 650--670.
    [44]
    Hrishikesh Salunkhe, Orlando Moreira, and Kees van Berkel. 2014. Mode-controlled dataflow based modeling and analysis of a 4G-LTE receiver. In Proceedings of the Conference on Design, Automation, and Test in Europe (DATE’14). 212.
    [45]
    Firew Siyoum, Marc Geilen, Orlando Moreira, Rick Nas, and Henk Corporaal. 2011. Analyzing synchronous dataflow scenarios for dynamic software-defined radio applications. In Proceedings of the International Symposium on System on Chip (SoC). IEEE, Los Alamitos, CA, 14--21.
    [46]
    Sander Stuijk, Marc Geilen, and Twan Basten. 2006. SDF3: SDF for free. In Proceedings of the International Conference on Application of Concurrency to System Design (ACSD’06). IEEE, Los Alamitos, CA, 276--278.
    [47]
    Sander Stuijk, Marc Geilen, Bart Theelen, and Twan Basten. 2011. Scenario-aware dataflow: Modeling, analysis and implementation of dynamic applications. In Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation. 404--411.
    [48]
    Bart Theelen, Marc Geilen, and Jeroen Voeten. 2011. Performance model checking scenario-aware dataflow. In Formal Modeling and Analysis of Timed Systems. Lecture Notes in Computer Science, Vol. 6919. Springer, 43--59.
    [49]
    Wei Tong, O. Moreira, R. Nas, and K. van Berkel. 2012. Hard-real-time scheduling on a weakly programmable multi-core processor with application to multi-standard channel decoding. In Proceedings of the 2012 Real-Time and Embedded Technology and Applications Symposium (RTAS’12). 151--160.
    [50]
    Maarten Hendrik Wiggers. 2009. Aperiodic Multiprocessor Scheduling for Real-Time Stream Processing Applications. Ph.D. Dissertation. Enschede.
    [51]
    Mark Woh, Yoav Harel, Scott Mahlke, Trevor Mudge, Chaitali Chakrabarti, and Krisztian Flautner. 2006. SODA: A low-power architecture for software radio. In Proceedings of the 33rd International Symposium on Computer Architecture (ISCA’06). 89--101.
    [52]
    Mark Woh, Sangwon Seo, Hyunseok Lee, Yuan Lin, Scott Mahlke, Trevor Mudge, Chaitali Chakrabarti, and Krisztian Flautner. 2007. The next generation challenge for software defined radio. In Proceedings of the Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation. 343--354.
    [53]
    J. Zyren and W. McCoy. 2007. Overview of the 3GPP Long Term Evolution Physical Layer. Technical Report. Freescale Semiconductor Inc.

    Cited By

    View all
    • (2023)Dataflow Models of Computation for Programming Heterogeneous MulticoresHandbook of Computer Architecture10.1007/978-981-15-6401-7_45-2(1-40)Online publication date: 28-Sep-2023
    • (2023)A DSEL for high throughput and low latency software‐defined radio on multicore CPUsConcurrency and Computation: Practice and Experience10.1002/cpe.782035:23Online publication date: 3-Jul-2023
    • (2022)Dataflow Models of Computation for Programming Heterogeneous MulticoresHandbook of Computer Architecture10.1007/978-981-15-6401-7_45-1(1-40)Online publication date: 28-Jan-2022
    • Show More Cited By

    Index Terms

    1. A New Compilation Flow for Software-Defined Radio Applications on Heterogeneous MPSoCs

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Transactions on Architecture and Code Optimization
        ACM Transactions on Architecture and Code Optimization  Volume 13, Issue 2
        June 2016
        200 pages
        ISSN:1544-3566
        EISSN:1544-3973
        DOI:10.1145/2952301
        Issue’s Table of Contents
        Publication rights licensed to ACM. ACM acknowledges that this contribution was authored or co-authored by an employee, contractor or affiliate of a national government. As such, the Government retains a nonexclusive, royalty-free right to publish or reproduce this article, or to allow others to do so, for Government purposes only.

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 06 June 2016
        Accepted: 01 March 2016
        Revised: 01 March 2016
        Received: 01 August 2015
        Published in TACO Volume 13, Issue 2

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. Dataflow
        2. compiler
        3. heterogeneous MPSoC
        4. programming model
        5. scheduling
        6. software-defined radio

        Qualifiers

        • Research-article
        • Research
        • Refereed

        Funding Sources

        • Région Rhône Alpes ADR

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)69
        • Downloads (Last 6 weeks)11
        Reflects downloads up to 26 Jul 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2023)Dataflow Models of Computation for Programming Heterogeneous MulticoresHandbook of Computer Architecture10.1007/978-981-15-6401-7_45-2(1-40)Online publication date: 28-Sep-2023
        • (2023)A DSEL for high throughput and low latency software‐defined radio on multicore CPUsConcurrency and Computation: Practice and Experience10.1002/cpe.782035:23Online publication date: 3-Jul-2023
        • (2022)Dataflow Models of Computation for Programming Heterogeneous MulticoresHandbook of Computer Architecture10.1007/978-981-15-6401-7_45-1(1-40)Online publication date: 28-Jan-2022
        • (2021)Efficient Open Source Software Radio on Heterogeneous Multicore Embedded PlatformsIEEE Consumer Electronics Magazine10.1109/MCE.2020.301017910:2(27-36)Online publication date: 1-Mar-2021
        • (2018)Dataflow Modeling for Reconfigurable Signal Processing SystemsHandbook of Signal Processing Systems10.1007/978-3-319-91734-4_22(787-824)Online publication date: 14-Oct-2018

        View Options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Get Access

        Login options

        Full Access

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media