Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Open access

Energy-Proportional Photonic Interconnects

Published: 28 December 2016 Publication History

Abstract

Photonic interconnects have emerged as the prime candidate technology for efficient networks on chip at future process nodes. However, the high optical loss of many nanophotonic components coupled with the low efficiency of current laser sources results in exceedingly high total power requirements for the laser. As optical interconnects stay on even during periods of system inactivity, most of this power is wasted, which has prompted research on laser gating. Unfortunately, prior work has been complicated by the long laser turn-on delays and has failed to deliver the full savings. In this article, we propose ProLaser, a laser control mechanism that monitors the requests sent on the interconnect, the cache, and the coherence directory to detect highly correlated events and turn on proactively the lasers of a photonic interconnect. While ProLaser requires fast lasers with a turn-on delay of a few nanoseconds, a technology that is still experimental, several types of such lasers that are suitable for power gating have already been manufactured over the last decade. Overall, ProLaser saves 42% to 85% of the laser power, outperforms the current state of the art by 2× on average, and closely tracks (within 2%--6%) a perfect prediction scheme with full knowledge of future interconnect requests. Moreover, the power savings of ProLaser allow the cores to exploit a higher-power budget and run faster, achieving speedups of 1.5 to 1.7× (1.6× on average).

References

[1]
J. Ahn, M. Fiorentino, R. Beausoleil, N. Binkert, A. Davis, D. Fattal, N. Jouppi, M. McLaren, C. Santori, R. Schreiber, S. Spillane, D. Vantrease, and Q. Xu. 2009. Devices and architectures for photonic chip-scale integration. Applied Physics A, 95, 4, 989--997.
[2]
L. A. Barroso and U. Holzle. 2007. The case for energy-proportional computing. IEEE Computer 40, 12, 33--37.
[3]
C. Batten, A. Joshi, J. Orcutt, A. Khilo, B. Moss, C. W. Holzwarth, M. A. Popovic, H. Li, H. I. Smith, J. L. Hoyt, F. X. Kartner, R. J. Ram, V. Stojanovic, and K. Asanovic. 2009. Building many-core processor-to-DRAM networks with monolithic CMOS silicon photonics. IEEE Micro 29, 4, 8--21.
[4]
C. Batten, A. Joshi, V. Stojanovic, and K. Asanovic. 2012. Designing chip-level nanophotonic interconnection networks. IEEE Journal on Emerging and Selected Topics in Circuits and Systems 2, 2, 137--153.
[5]
W. Bogaerts and S. K. Selvaraja. 2011. Compact single-mode silicon hybrid rib/strip waveguide with adiabatic bends. IEEE Photonics Journal 3, 3, 422--432.
[6]
R. E. Camacho-Aguilera, Y. Cai, N. Patel, J. T. Bessette, M. Romagnoli, L. C. Kimerling, and J. Michel. 2012. An electrically pumped germanium laser. Optics Express 20, 10, 11316--11320.
[7]
J. Cardenas, C. Poitras, J. Robinson, K. Preston, L. Chen, and M. Lipson. 2009. Low loss etchless silicon photonic waveguides. Optics Express 17, 6, 4752--4757.
[8]
C. Chen, J. L. Abellan, and A. Joshi. 2015. Managing laser power in silicon-photonic NoC through cache and NoC reconfiguration. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 34, 6, 972--985.
[9]
C. Chen and A. Joshi. 2013. Runtime management of laser power in silicon-photonic multibus NoC architecture. IEEE Journal of Selected Topics in Quantum Electronics 19, 2, 3700713--3700713.
[10]
G. Chen, H. Chen, M. Haurylau, N. Nelson, P. M. Fauchet, E. Friedman, and D. Albonesi. 2005. Predictions of CMOS compatible on-chip optical interconnect. In Proceedings of the 7th International Workshop on System-Level Interconnect Prediction. 13--20.
[11]
W. J. Dally and T. Bowles. 2004. Principles and Practices of Interconnection Networks. Morgan Kaufmann.
[12]
Y. Demir and N. Hardavellas. 2014. Ecolaser: An adaptive laser control for energy efficient on-chip photonic interconnects. In Proceedings of the International Symposium on Low-Power Electronics and Design. 3--8.
[13]
Y. Demir and N. Hardavellas. 2014. LaC: Integrating laser control in a photonic interconnect. In Proceedings of the 2014 IEEE Photonics Conference. 28--29.
[14]
Y. Demir and N. Hardavellas. 2016. SLaC: Stage laser control for a flattened butterfly network. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture. 321--332.
[15]
Y. Demir, Y. Pan, S. Song, N. Hardavellas, J. Kim, and G. Memik. 2014. Galaxy: A high-performance energy-efficient multi-chip architecture using photonic interconnects. In Proceedings of the 28th ACM International Conference on Supercomputing. 303--312.
[16]
G. H. Duan, A. Shen, A. Akrout, F. V. Dijk, F. Lelarge, F. Pommereau, O. LeGouezigou, J. G. Provost, H. Gariah, F. Blache, F. Mallecot, K. Merghem, A. Martinez, and A. Ramdane. 2009. High performance InP-based quantum dash semiconductor mode-locked lasers for optical communications. Bell Labs Technical Journal 14, 3, 63--84.
[17]
J. P. Epping, M. Hoekman, R. Mateman, A. Leinse, R. G. Heideman, A. van Rees, P. J. van der Slot, C. J. Lee, and K. J. Boller. 2015. High confinement, high yield Si3N4 waveguides for nonlinear optical applications. Optics Express 23, 2, 642--648.
[18]
European, Japan, Korean, Taiwan, and United States Semiconductor Industry Associations. 2012. The International Technology Roadmap for Semiconductors (ITRS). Retrieved from http://www.itrs.net/.
[19]
A. W. Fang, H. Park, O. Cohen, R. Jones, M. J. Paniccia, and J. E. Bowers. 2006. Electrically pumped hybrid AlGaInAs-silicon evanescent laser. Optics Express 14, 20, 9203--9210.
[20]
N. Hardavellas, S. Somogyi, T. F. Wenisch, R. E. Wunderlich, S. Chen, J. Kim, B. Falsafi, J. C. Hoe, and A. G. Nowatzyk. 2004. SimFlex: A fast, accurate, flexible full-system simulation framework for performance evaluation of server architecture. SIGMETRICS Performance Evaluation Review, Special Issue on Tools for Computer Architecture Research 31, 4, 31--35.
[21]
M. Heck and J. Bowers. 2014. Energy efficient and energy proportional optical interconnects for multi-core processors: Driving the need for on-chip sources. IEEE Journal of Selected Topics in Quantum Electronics 20, 4, 1--12.
[22]
H. Hisham, G. Mahdiraji, A. Abas, M. Mahdi, and F. Adikan. 2012. Characterization of transient response in fiber grating fabry-perot lasers. IEEE Photonics Journal, 4, 6, 2353--2371.
[23]
H. Hisham, G. Mahdiraji, A. Abas, M. Mahdi, and F. Adikan. 2012. Characterization of turn-on time delay in fiber grating Fabry-Perot lasers. IEEE Photonics Journal 4, 5, 1662--1678.
[24]
J. Huh, C. Kim, H. Shafi, L. Zhang, D. Burger, and S. W. Keckler. 2005. A NUCA substrate for flexible CMP cache sharing. In Proceedings of the Annual International Conference on Supercomputing. 31--40.
[25]
A. Joshi, C. Batten, Y. J. Kwon, S. Beamer, I. Shamim, K. Asanovic, and V. Stojanovic. 2009. Silicon-photonic clos networks for global on-chip communication. In Proceedings of the IEEE International Symposium on Networks-on-Chip. 124--133.
[26]
S. Kandula, S. Sengupta, A. Greenberg, P. Patel, and R. Chaiken. 2009. The nature of data center traffic: Measurements and analysis. In Proceedings of the 9th ACM SIGCOMM Internet Measurement Conference, 202--208.
[27]
J. Kim, W. Dally, and D. Abts. 2007. Flattened butterfly: A cost-efficient topology for high-radix networks. In Proceedings of the 34th Annual International Symposium on Computer Architecture. 126--137.
[28]
L. C. Kimerling. 2013. Scaling functionality with silicon photonics: Achievement and potential. In UK Silicon Photonics Showcase Event. Retrieved January 1, 2015, from http://www.orc.soton.ac.uk/fileadmin/seminar_pdf/UKSP_Showcase_-_Lionel_Kimerling.pdf.
[29]
N. Kirman, M. Kirman, R. K. Dokania, J. F. Martinez, A. B. Apsel, M. A. Watkins, and D. H. Albonesi. 2006. Leveraging optical technology in future bus-based chip multiprocessors. In Proceedings of the 39th IEEE/ACM Annual International Symposium on Microarchitecture. 492--503.
[30]
B. R. Koch, E. J. Norberg, B. Kim, J. Hutchinson, J. H. Shin, G. Fish, and A. Fang. 2013. Integrated silicon photonic laser sources for telecom and datacom. In Proceedings of the Optical Fiber Communication Conference/National Fiber Optic Engineers Conference 2013. 1--3.
[31]
E. Kotelnikov, A. Katsnelson, K. Patel, and I. Kudryashov. 2012. High-power single-mode InGaAsP/InP laser diodes for pulsed operation. In Proceedings of SPIE. 8277:827715--1--827715--6.
[32]
A. Krishnamoorthy, R. Ho, X. Zheng, H. Schwetman, J. Lexau, P. Koka, G. Li, I. Shubin, and J. Cunningham. 2009. Computer systems based on silicon photonic interconnects. Proceedings of the IEEE 97, 7, 1337--1361.
[33]
G. Kurian, C. Sun, C. H. Chen, J. Miller, J. Michel, L. Wei, D. Antoniadis, L. S. Peh, L. Kimerling, V. Stojanovic, and A. Agarwal. 2012. Cross-layer energy and performance evaluation of a nanophotonic manycore processor system using real application workloads. In Proceedings of the 26th IEEE International Parallel Distributed Processing Symposium. 1117--1130.
[34]
C. Li, M. Browning, P. V. Gratz, and S. Palermo. 2014. LumiNoC: A power-efficient, high-performance, photonic network-on-chip. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 33, 6, 826--838.
[35]
G. Li, J. Yao, H. Thacker, A. Mekis, X. Zheng, I. Shubin, Y. Luo, J. H. Lee, K. Raj, J. E. Cunningham, and A. V. Krishnamoorthy. 2012. Ultralow-loss, high-density SOI optical waveguide routing for macrochip interconnects. Optics Express, 20, 11, 12035--12039.
[36]
S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi. 2009. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the 42nd IEEE/ACM Annual International Symposium on Microarchitecture. 469--480.
[37]
J. Liu, X. Sun, R. Camacho-Aguilera, L. C. Kimerling, and J. Michel. 2010. Ge-on-si laser operating at room temperature. Optics Letters 35, 5, 679--681.
[38]
P. Lotfi-Kamran, B. Grot, and B. Falsafi. 2012. NoC-out: Microarchitecting a scale-out processor. In Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture. 177--187.
[39]
G. Masini, A. Narasimha, A. Mekis, B. Welch, C. Ogden, C. Bradbury, C. Sohn, D. Song, D. Martinez, D. Foltz, D. Guckenberger, J. Eicher, J. Dong, J. Schramm, J. White, J. Redman, K. Yokoyama, M. Harrison, M. Peterson, M. Saberi, M. Mack, M. Sharp, P. D. Dobbelaere, R. LeBlanc, S. Leap, S. Abdalla, S. Gloeckner, S. Hovey, S. Jackson, S. Sahni, S. Yu, T. Pinguet, W. Xu, and Y. Liang. 2012. CMOS photonics for optical engines and interconnects. In Proceedings of the National Fiber Optic Engineers Conference and Optical Fiber Communication Conference and Exposition 2012. 1--3.
[40]
B. Neel, M. Kennedy, and A. Kodi. 2015. Dynamic power reduction techniques in on-chip photonic interconnects. In Proceedings of the 25th Edition on Great Lakes Symposium on VLSI. 249--252.
[41]
C. Nitta, M. Farrens, and V. Akella. 2011. Addressing system-level trimming issues in on-chip nanophotonic networks. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture. 122--131.
[42]
C. Nitta, M. Farrens, and V. Akella. 2012. DCOF: An arbitration free directly connected optical fabric. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2, 2, 169--182.
[43]
C. J. Nitta, M. K. Farrens, and V. Akella. 2013. On-Chip Photonic Interconnects: A Computer Architect's Perspective. Morgan 8 Claypool Publishers.
[44]
J. S. Orcutt, A. Khilo, C. W. Holzwarth, M. A. Popovic, H. Li, J. Sun, T. Bonifield, R. Hollingsworth, F. X. Kartner, H. I. Smith, V. Stojanovic, and R. J. Ram. 2011. Nanophotonic integration in state-of-the-art CMOS foundries. Optics Express 19, 3, 2335--2346.
[45]
Y. Pan, J. Kim, and G. Memik. 2010. Flexishare: Channel sharing for an energy-efficient nanophotonic crossbar. In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture. 1--12.
[46]
Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary. 2009. Firefly: Illuminating future network-on-chip with nanophotonics. In Proceedings of the Annual International Symposium on Computer Architecture. 429--440.
[47]
M. Paniccia and J. Bowers. 2006. First electrically pumped hybrid silicon laser. Retrieved May 2014, from http://www.intel.com/content/dam/www/public/us/en/documents/technology-briefs/intel-labs-hybrid-silicon-laser-announcement.pdf.
[48]
K. Petermann. 1988. Laser Diode Modulation and Noise. Advances in Optoelectronics, Vol. 3. Springer.
[49]
P. Rosenfeld, E. Cooper-Balis, and B. Jacob. 2011. DRAMsim2: A cycle accurate memory system simulator. Computer Architecture Letters 10, 1, 16--19.
[50]
E. Safi, A. Moshovos, and A. Veneris. 2008. L-CBF: A low-power, fast counting bloom filter architecture. IEEE Transactions on Very Large Scale Integration Systems 16, 6, 628--638.
[51]
S. K. Selvaraja, W. Bogaerts, D. V. Thourhout, and R. Baets. 2010. Record low-loss hybrid rib/wire waveguides for silicon photonic circuits. In Proceedings of the 7th International Conference on Group IV Photonics. 1--3.
[52]
K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. 2003. Temperature-aware microarchitecture. In Proceedings of the Annual International Symposium on Computer Architecture. 2--13.
[53]
M. Stucchi, S. Cosemans, J. Van Campenhout, Z. Tkei, and G. Beyer. 2013. On-chip optical interconnects versus electrical interconnects for high-performance applications. Microelectronic Engineering 112, 84--91.
[54]
C. Sun, C. H. O. Chen, G. Kurian, L. Wei, J. Miller, A. Agarwal, L. S. Peh, and V. Stojanovic. 2012. Dsent - a tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In Proceedings of the 6th IEEE/ACM International Symposium on Networks-on-Chip. 201--210.
[55]
C. Sun, Y. H. Chen, and V. Stojanovic. 2013. Designing processor-memory interfaces with monolithically integrated silicon-photonics. In Proceedings of the Conference on Lasers and Electro-Optics Pacific Rim. 1--2.
[56]
C. Sun, M. T. Wade, Y. Lee, J. S. Orcutt, L. Alloatti, M. S. Georgas, A. S. Waterman, J. M. Shainline, R. R. Avizienis, S. Lin, B. R. Moss, R. Kumar, F. Pavanello, A. H. Atabaki, H. M. Cook, A. J. Ou, J. C. Leu, Y.-H. Chen, K. Asanovic, R. J. Ram, M. A. Popovic, and V. M. Stojanovic. 2015. Single-chip microprocessor that communicates directly using light. Nature 528, 7583, 534--538.
[57]
R. Takei, S. Manako, E. Omoda, Y. Sakakibara, M. Mori, and T. Kamei. 2014. Sub-1 dB/cm submicrometer-scale amorphous silicon waveguide for backend on-chip optical interconnect. Optics Express 22, 4, 4779--4788.
[58]
S. Tanaka, S. H. Jeong, S. Sekiguchi, T. Kurahashi, Y. Tanaka, and K. Morito. 2012. Highly-efficient, low-noise Si hybrid laser using flip-chip bonded SOA. In Proceedings of the IEEE Optical Interconnects Conference. 12--13.
[59]
Y. Thonnart, E. Beigne, A. Valentian, and P. Vivet. 2008. Automatic power regulation based on an asynchronous activity detection and its application to ANOC node leakage reduction. In Proceedings of the 14th IEEE International Symposium on Asynchronous Circuits and Systems. 48--57.
[60]
D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R. G. Beausoleil, and J. H. Ahn. 2008. Corona: System implications of emerging nanophotonic technology. In Proceedings of the Annual International Symposium on Computer Architecture. 153--164.
[61]
T. F. Wenisch, R. E. Wunderlich, M. Ferdman, A. Ailamaki, B. Falsafi, and J. C. Hoe. 2006. SimFlex: Statistical sampling of computer system simulation. IEEE Micro 26, 4, 18--31.
[62]
P. Wolf, P. Moser, G. Larisch, W. Hofmann, H. Li, J. Lott, C. Y. Lu, S. Chuang, and D. Bimberg. 2013. Energy-efficient and temperature-stable high-speed VCSELs for optical interconnects. In Proceedings of the 15th International Conference on Transparent Optical Networks. 1--5.
[63]
L. Zhou and A. Kodi. 2013. Probe: Prediction-based optical bandwidth scaling for energy-efficient NoCs. In Proceedings of the 7th IEEE/ACM International Symposium on Networks on Chip. 1--8.
[64]
A. Zilkie, B. Bijlani, P. Seddighian, D. C. Lee, W. Qian, J. Fong, R. Shafiiha, D. Feng, B. Luff, X. Zheng, J. Cunningham, A. V. Krishnamoorthy, and M. Asghari. 2012. High-efficiency hybrid III-V/Si external cavity DBR laser for 3um SOI waveguides. In Proceedings of the 9th International Conference on Group IV Photonics. 317--319.

Cited By

View all
  • (2022)A Practical Shared Optical Cache With Hybrid MWSR/R-SWMR NoC for Multicore ProcessorsACM Journal on Emerging Technologies in Computing Systems10.1145/353101218:4(1-28)Online publication date: 20-Apr-2022

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Architecture and Code Optimization
ACM Transactions on Architecture and Code Optimization  Volume 13, Issue 4
December 2016
648 pages
ISSN:1544-3566
EISSN:1544-3973
DOI:10.1145/3012405
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 28 December 2016
Accepted: 01 November 2016
Revised: 01 October 2016
Received: 01 June 2016
Published in TACO Volume 13, Issue 4

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Nanophotonic interconnects
  2. energy efficiency
  3. energy proportionality
  4. laser control
  5. power efficiency

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

  • National Science Foundation under CAREER

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)42
  • Downloads (Last 6 weeks)12
Reflects downloads up to 01 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2022)A Practical Shared Optical Cache With Hybrid MWSR/R-SWMR NoC for Multicore ProcessorsACM Journal on Emerging Technologies in Computing Systems10.1145/353101218:4(1-28)Online publication date: 20-Apr-2022

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Full Access

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media