Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3020078.3026124acmconferencesArticle/Chapter ViewAbstractPublication PagesfpgaConference Proceedingsconference-collections
research-article
Open access

Quality-Time Tradeoffs in Component-Specific Mapping: How to Train Your Dynamically Reconfigurable Array of Gates with Outrageous Network-delays

Published: 22 February 2017 Publication History

Abstract

How should we perform component-specific adaptation for FPGAs? Prior work has demonstrated that the negative effects of variation can be largely mitigated using complete knowledge of device characteristics and full per-FPGA CAD flow. However, the cost of per-FPGA characterization and mapping could be prohibitively expensive. We explore light-weight options for per-FPGA mapping that avoid the need for a priori device characterization and perform less expensive per FPGA customization work. We characterize the tradeoff between Quality-of-Results (energy, delay) and per-device mapping costs for 7 design points ranging from complete mapping based on knowledge to no per-device mapping. We show that it is possible to get 48-77% of the component-specific mapping delay benefit or 57% of the energy benefit with a mapping that takes less than 20 seconds per FPGA. An incremental solution can start execution after a 21 ms bitstream load and converge to 77% delay benefit after 18 seconds of runtime.

References

[1]
A. Asenov. Random dopant induced threshold voltage lowering and fluctuations in sub-0.1,μm MOSFET's: A 3-D "atomistic" simulation study. IEEE Trans. Electron Devices, 45(12):2505--2513, December 1998.
[2]
A. Asenov. Intrinsic threshold voltage fluctuations in decanano MOSFETs due to local oxide thickness variation. IEEE Trans. Electron Devices, 49(1):112--119, January 2002.
[3]
A. Asenov, S. Kaya, and A. R. Brown. Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness. IEEE Trans. Electron Devices, 50(5):1254--1260, May 2003.
[4]
K. Bernstein, D. J. Frank, A. E. Gattiker, W. Haensch, B. L. Ji, S. R. Nassif, E. J. Nowak, D. J. Pearson, and N. J. Rohrer. High-performance CMOS variability in the 65-nm regime and beyond. IBM J. Res. and Dev., 50(4/5):433--449, July/September 2006.
[5]
V. Betz and J. Rose. http://www.eecg.toronto.edu/vaughn/challenge/challenge.htmlFPGA Place-and-Route Challenge. http://www.eecg.toronto.edu/vaughn/challenge/challenge.html, 1999.
[6]
V. Betz, J. Rose, and A. Marquardt. Architecture and CAD for Deep-Submicron FPGAs. Kluwer Academic Publishers, Norwell, Massachusetts, 02061 USA, 1999.
[7]
D. Bol, R. Ambroise, D. Flandre, and J.-D. Legat. Interests and limitations of technology scaling for subthreshold logic. IEEE Trans. VLSI Syst., 17(10):1508--1519, 2009.
[8]
C. T. Chow, L. S. M. Tsui, P. H. W. Leong, W. Luk, and S. J. E. Wilton. Dynamic voltage scaling for commercial FPGAs. In ICFPT, pages 173--180, 2005.
[9]
W. B. Culbertson, R. Amerson, R. Carter, P. Kuekes, and G. Snider. Defect tolerance on the TERAMAC custom computer. In FCCM, pages 116--123, April 1997.
[10]
S. Devadas, A. Ghosh, and K. Keutzer. Logic Synthesis. McGraw-Hill, New York, 1994.
[11]
S. Ghiasi, E. Bozorgzadeh, S. Choudhuri, and M. Sarrafzadeh. A unified theory of timing budget management. In ICCAD, pages 653--659, 2004.
[12]
H. Giesen, B. Gojman, R. Rubin, and A. DeHon. Continuous online self-monitoring introspection circuitry for timing repair by incremental partial-reconfiguration (COSMIC TRIP). In FCCM, pages 111--118, 2016.
[13]
B. Gojman and A. DeHon. GROK-INT: Generating real on-chip knowledge for interconnect delays using timing extraction. In FCCM, pages 88--95, 2014.
[14]
B. Gojman, S. Nalmela, N. Mehta, N. Howarth, and A. DeHon. GROK-LAB: Generating real on-chip knowledge for intra-cluster delays using timing extraction. ACM Tr. Reconfig. Tech. and Sys., 7(4):5:1--5:23, Dec. 2014.
[15]
R. Graham. Bounds on multiprocessor timing anomalies. SIAM J. Appl. Math, 7:416--429, 1969.
[16]
C. He, M. F. Jacome, and G. de Veciana. A reconfiguration-based defect-tolerant design paradigm for nanotechnologies. IEEE Design and Test of Computers, 22(4):316--326, July-August 2005.
[17]
D. L. How and S. Atsatt. Sectors: Divide conquer and softwarization in the design and validation of the Stratix 10 FPGA. In FCCM, pages 119--126, May 2016.
[18]
K. J. Kuhn. Reducing variation in advanced logic technologies: Approaches to process and design for manufacturability of nanoscale cmos. In IEDM, pages 471--474, 2007.
[19]
J. Lach, W. H. Mangione-Smith, and M. Potkonjak. Low overhead fault-tolerant FPGA systems. IEEE Trans. VLSI Syst., 6(2):212--221, June 1998.
[20]
J. M. Levine, E. Stott, G. A. Constantinides, and P. Y. Cheung. Online measurement of timing in circuits: for health monitoring and dynamic voltage & frequency scaling. In FCCM, pages 109--116, 2012.
[21]
D. Lewis, E. Ahmed, D. Cashman, T. Vanderhoek, C. Lane, A. Lee, and P. Pan. Architectural enhancements in Stratix-III and Stratix-IV. In FPGA, pages 33--42, 2009.
[22]
T. A. Linscott, B. Gojman, R. Rubin, and A. DeHon. Pitfalls and tradeoffs in simultaneous, on-chip FPGA delay measurement. In FPGA, pages 100--104, February 2016.
[23]
J. Luu, I. Kuon, P. Jamieson, T. Campbell, A. Ye, W. M. Fang, and J. Rose. VPR 5.0: FPGA CAD and architecture exploration tools with single-driver routing, heterogeneity and process scaling. In FPGA, pages 133--142, 2009.
[24]
M. I. Masud and S. Wilton. A new switch block for segmented FPGAs. In FPL, pages 274--281, 1999.
[25]
L. McMurchie and C. Ebeling. http://www.cs.washington.edu/research/projects/lis/www/papers/postscript/mcmurchie-FPGA95.psPathFinder: A Negotiation-Based Performance-Driven Router for FPGAs. In FPGA, pages 111--117, 1995.
[26]
N. Mehta, R. Rubin, and A. DeHon. http://ic.ese.upenn.edu/abstracts/cspec_limit_fpga2012.htmlLimit Study of Energy & Delay Benefits of Component-Specific Routing. In FPGA, pages 97--106, 2012.
[27]
K. Minkovich and J. Cong. Mapping for better than worst-case delays in LUT-based FPGA designs. In FPGA, pages 56--64, 2008.
[28]
R. Rubin and A. DeHon. http://ic.ese.upenn.edu/abstracts/cya_trets2011.htmlChoose-Your-Own-Adventure Routing: Lightweight Load-Time Defect Avoidance. ACM Tr. Reconfig. Tech. and Sys., 4(4), December 2011.
[29]
R. Rubin and A. DeHon. http://ic.ese.upenn.edu/abstracts/pathfinder_noise_fpga2011.html Timing-Driven Pathfinder Pathology and Remediation: Quantifying and Reducing Delay Noise in VPR-Pathfinder. In FPGA, pages 173--176, 2011.
[30]
P. Sedcole and P. Y. K. Cheung. Parametric yield modeling and simulations of FPGA circuits considering within-die delay variations. ACM Tr. Reconfig. Tech. and Sys., 1(2), June 2008.
[31]
E. A. Stott, J. S. J. Wong, P. Sedcole, and P. Y. K. Cheung. Degradation in FPGAs: measurement and modelling. In FPGA, page 229, 2010.
[32]
T. Tuan, A. Lesea, C. Kingsley, and S. Trimberger. Analysis of within-die process variation in 65nm FPGAs. In ISQED, pages 1--5, March 2011.
[33]
J. S. Wong, P. Sedcole, and P. Y. K. Cheung. Self-measurement of combinatorial circuit delays in FPGAs. ACM Tr. Reconfig. Tech. and Sys., 2(2):1--22, June 2009.
[34]
Xilinx, Inc., 2100 Logic Drive, San Jose, CA 95124. http://www.xilinx.com/bvdocs/userguides/ug191.pdf Virtex-5 FPGA Configuration User Guide, September 2008. UG191 http://www.xilinx.com/bvdocs/userguides/ug191.pdf.
[35]
W. Zhao and Y. Cao. New generation of predictive technology model for sub-45 nm early design exploration. IEEE Trans. Electron Dev., 53(11):2816--2823, 2006.
[36]
K. M. Zick and J. P. Hayes. On-line sensing for healthier FPGA systems. In FPGA, pages 239--248, 2010.

Cited By

View all
  • (2024)An Efficient FPGA Architecture with Turn-Restricted Switch BoxesACM Transactions on Design Automation of Electronic Systems10.1145/3643809Online publication date: 3-Feb-2024
  • (2019)Focus on What is Needed: Area and Power Efficient FPGAs Using Turn-Restricted Switch Boxes2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI.2019.00115(615-620)Online publication date: Jul-2019
  • (2018)Continuous Online Self-Monitoring Introspection Circuitry for Timing Repair by Incremental Partial-Reconfiguration (COSMIC TRIP)ACM Transactions on Reconfigurable Technology and Systems10.1145/315822911:1(1-23)Online publication date: 26-Jan-2018
  • Show More Cited By

Index Terms

  1. Quality-Time Tradeoffs in Component-Specific Mapping: How to Train Your Dynamically Reconfigurable Array of Gates with Outrageous Network-delays

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        FPGA '17: Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays
        February 2017
        312 pages
        ISBN:9781450343541
        DOI:10.1145/3020078
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

        Sponsors

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 22 February 2017

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. FPGA
        2. component-specific mapping
        3. variation

        Qualifiers

        • Research-article

        Funding Sources

        • Leggett Family Fellowship
        • DARPA

        Conference

        FPGA '17
        Sponsor:

        Acceptance Rates

        FPGA '17 Paper Acceptance Rate 25 of 101 submissions, 25%;
        Overall Acceptance Rate 125 of 627 submissions, 20%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)50
        • Downloads (Last 6 weeks)11
        Reflects downloads up to 16 Oct 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)An Efficient FPGA Architecture with Turn-Restricted Switch BoxesACM Transactions on Design Automation of Electronic Systems10.1145/3643809Online publication date: 3-Feb-2024
        • (2019)Focus on What is Needed: Area and Power Efficient FPGAs Using Turn-Restricted Switch Boxes2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI.2019.00115(615-620)Online publication date: Jul-2019
        • (2018)Continuous Online Self-Monitoring Introspection Circuitry for Timing Repair by Incremental Partial-Reconfiguration (COSMIC TRIP)ACM Transactions on Reconfigurable Technology and Systems10.1145/315822911:1(1-23)Online publication date: 26-Jan-2018
        • (2017)Self-Adaptive Timing RepairIEEE Design & Test10.1109/MDAT.2017.275091234:6(54-62)Online publication date: Dec-2017

        View Options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Get Access

        Login options

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media