Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3060403.3060495acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article
Public Access

Comparative Analysis of Hardware Obfuscation for IP Protection

Published: 10 May 2017 Publication History

Abstract

In the era of globalized Integrated Circuit (IC) design and manufacturing flow, a rising issue to the silicon industry is various attacks on hardware intellectual property (IP). As a measure to ensure security along the supply chain against IP piracy, tampering and reverse engineering, hardware obfuscation is considered a reliable defense mechanism. Sequential and combinational obfuscations are the primary classes of obfuscation, and multiple methods have been proposed in each type in recent years. This paper presents an overview of obfuscation techniques and a qualitative comparison of the two major types.

References

[1]
Y. Alkabani and F. Koushanfar. Active hardware metering for intellectual property protection and security. In N. Provos, editor, Proceedings of the 16th USENIX Security Symposium, Boston, MA, USA, August 6--10, 2007. USENIX Association, 2007.
[2]
A. Baumgarten, A. Tyagi, and J. Zambreno. Preventing IC piracy using reconfigurable logic barriers. IEEE Design & Test of Computers, 27(1):66--75, 2010.
[3]
R. S. Chakraborty and S. Bhunia. Hardware protection and authentication through netlist level obfuscation. In S. R. Nassif and J. S. Roychowdhury, editors, 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10--13, 2008, pages 674--677. IEEE Computer Society, 2008.
[4]
R. S. Chakraborty and S. Bhunia. HARPOON: an obfuscation-based soc design methodology for hardware protection. IEEE Trans. on CAD of Integrated Circuits and Systems, 28(10):1493--1502, 2009.
[5]
R. S. Chakraborty and S. Bhunia. Security against hardware trojan through a novel application of design obfuscation. In J. S. Roychowdhury, editor, 2009 International Conference on Computer-Aided Design, ICCAD 2009, San Jose, CA, USA, November 2--5, 2009, pages 113--116. ACM, 2009.
[6]
R. S. Chakraborty and S. Bhunia. Security through obscurity: An approach for protecting register transfer level hardware IP. In M. Tehranipoor and J. Plusquellic, editors, IEEE International Workshop on Hardware-Oriented Security and Trust, HOST 2009, San Francisco, CA, USA, July 27, 2009. Proceedings, pages 96--99. IEEE Computer Society, 2009.
[7]
R. S. Chakraborty and S. Bhunia. RTL hardware IP protection using key-based control and data flow obfuscation. In VLSI Design 2010: 23rd International Conference on VLSI Design, 9th International Conference on Embedded Systems, Bangalore, India, 3--7 January 2010, pages 405--410. IEEE Computer Society, 2010.
[8]
G. K. Contreras, M. T. Rahman, and M. Tehranipoor. Secure split-test for preventing IC piracy by untrusted foundry and assembly. In 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFTS 2013, New York City, NY, USA, October 2--4, 2013, pages 196--203. IEEE Computer Society, 2013.
[9]
A. R. Desai, M. S. Hsiao, C. Wang, L. Nazhandali, and S. Hall. Interlocking obfuscation for anti-tamper hardware. In F. T. Sheldon, A. Giani, A. W. Krings, and R. K. Abercrombie, editors, Cyber Security and Information Intelligence, CSIIRW '13, Oak Ridge, TN, USA, January 8--10, 2013, page 8. ACM, 2013.
[10]
S. Dupuis, P. Ba, G. D. Natale, M. Flottes, and B. Rouzeyre. A novel hardware logic encryption technique for thwarting illegal overproduction and hardware trojans. In 2014 IEEE 20th International On-Line Testing Symposium, IOLTS 2014, Platja d'Aro, Girona, Spain, July 7--9, 2014, pages 49--54. IEEE, 2014.
[11]
F. Imeson, A. Emtenan, S. Garg, and M. V. Tripunitara. Securing computer hardware using 3d integrated circuit (IC) technology and split manufacturing for obfuscation. In S. T. King, editor, Proceedings of the 22th USENIX Security Symposium, Washington, DC, USA, August 14--16, 2013, pages 495--510. USENIX Association, 2013.
[12]
Y. Lee and N. A. Touba. Improving logic obfuscation via logic cone analysis. In 16th Latin-American Test Symposium, LATS 2015, Puerto Vallarta, Mexico, March 25--27, 2015, pages 1--6. IEEE Computer Society, 2015.
[13]
L. Li and H. Zhou. Structural transformation for best-possible obfuscation of sequential circuits. In 2013 IEEE International Symposium on Hardware-Oriented Security and Trust, HOST 2013, Austin, TX, USA, June 2--3, 2013, pages 55--60. IEEE Computer Society, 2013.
[14]
B. Liu and B. Wang. Embedded reconfigurable logic for ASIC design obfuscation against supply chain attacks. In G. Fettweis and W. Nebel, editors, Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24--28, 2014, pages 1--6. European Design and Automation Association, 2014.
[15]
J. T. McDonald, Y. C. Kim, T. R. Andel, M. A. Forbes, and J. McVicar. Functional polymorphism for intellectual property protection. In 2016 IEEE International Symposium on Hardware Oriented Security and Trust, HOST 2016, McLean, VA, USA, May 3--5, 2016, pages 61--66, 2016.
[16]
A. Nahiyan, K. Xiao, K. Yang, Y. Jin, D. Forte, and M. Tehranipoor. AVFSM: a framework for identifying and mitigating vulnerabilities in fsms. In Proceedings of the 53rd Annual Design Automation Conference, DAC 2016, Austin, TX, USA, June 5--9, 2016, pages 89:1--89:6. ACM, 2016.
[17]
M. T. Rahman, D. Forte, Q. Shi, G. K. Contreras, and M. Tehranipoor. CSST: an efficient secure split-test for preventing IC piracy. In IEEE 23rd North Atlantic Test Workshop, NATW 2014, Johnson City, NY, USA, May 14--16, 2014, pages 43--47. IEEE, 2014.
[18]
M. T. Rahman, D. Forte, Q. Shi, G. K. Contreras, and M. M. Tehranipoor. CSST: preventing distribution of unlicensed and rejected ics by untrusted foundry and assembly. In 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2014, Amsterdam, The Netherlands, October 1--3, 2014, pages 46--51. IEEE Computer Society, 2014.
[19]
J. Rajendran, Y. Pino, O. Sinanoglu, and R. Karri. Logic encryption: A fault analysis perspective. In W. Rosenstiel and L. Thiele, editors, 2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12--16, 2012, pages 953--958. IEEE, 2012.
[20]
J. Rajendran, M. Sam, O. Sinanoglu, and R. Karri. Security analysis of integrated circuit camouflaging. In A. Sadeghi, V. D. Gligor, and M. Yung, editors, 2013 ACM SIGSAC Conference on Computer and Communications Security, CCS'13, Berlin, Germany, November 4--8, 2013, pages 709--720. ACM, 2013.
[21]
J. Rajendran, O. Sinanoglu, and R. Karri. Is split manufacturing secure? In E. Macii, editor, Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18--22, 2013, pages 1259--1264. EDA Consortium San Jose, CA, USA / ACM DL, 2013.
[22]
J. A. Roy, F. Koushanfar, and I. L. Markov. EPIC: ending piracy of integrated circuits. In D. Sciuto, editor, Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10--14, 2008, pages 1069--1074. ACM, 2008.
[23]
J. A. Roy, F. Koushanfar, and I. L. Markov. Protecting bus-based hardware IP by secret sharing. In L. Fix, editor, Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8--13, 2008, pages 846--851. ACM, 2008.
[24]
B. Shakya, N. Asadizanjani, D. Forte, and M. M. Tehranipoor. Chip editor: leveraging circuit edit for logic obfuscation and trusted fabrication. In F. Liu, editor, Proceedings of the 35th International Conference on Computer-Aided Design, ICCAD 2016, Austin, TX, USA, November 7--10, 2016, page 30. ACM, 2016.
[25]
B. Shakya, M. Tehranipoor, S. Bhunia, and D. Forte. Introduction to Hardware Obfuscation: Motivation, Methods and Evaluation, chapter 1, pages 3--32. Springer, 2017.
[26]
P. Subramanyan, S. Ray, and S. Malik. Evaluating the security of logic encryption algorithms. In IEEE International Symposium on Hardware Oriented Security and Trust, HOST 2015, Washington, DC, USA, 5--7 May, 2015, pages 137--143. IEEE Computer Society, 2015.
[27]
J. B. Wendt and M. Potkonjak. Hardware obfuscation using puf-based logic. In Y. Chang, editor, The IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2014, San Jose, CA, USA, November 3--6, 2014, pages 270--277. IEEE, 2014.
[28]
Y. Xie and A. Srivastava. Mitigating SAT attack on logic locking. In B. Gierlichs and A. Y. Poschmann, editors, Cryptographic Hardware and Embedded Systems - CHES 2016 - 18th International Conference, Santa Barbara, CA, USA, August 17--19, 2016, Proceedings, volume 9813 of Lecture Notes in Computer Science, pages 127--146. Springer, 2016.
[29]
B. Yang, K. Wu, and R. Karri. Scan based side channel attack on dedicated hardware implementations of data encryption standard. In Proceedings 2004 International Test Conference (ITC 2004), October 26--28, 2004, Charlotte, NC, USA, pages 339--344. IEEE Computer Society, 2004.
[30]
M. Yasin, B. Mazumdar, J. J. V. Rajendran, and O. Sinanoglu. Sarlock: SAT attack resistant logic locking. In 2016 IEEE International Symposium on Hardware Oriented Security and Trust, HOST 2016, McLean, VA, USA, May 3--5, 2016, pages 236--241, 2016.
[31]
M. Yasin, B. Mazumdar, O. Sinanoglu, and J. Rajendran. Security analysis of anti-sat. In 22nd Asia and South Pacific Design Automation Conference, ASP-DAC 2017, Chiba, Japan, January 16--19, 2017, pages 342--347. IEEE, 2017.
[32]
M. Yasin, J. J. V. Rajendran, O. Sinanoglu, and R. Karri. On improving the security of logic locking. IEEE Trans. on CAD of Integrated Circuits and Systems, 35(9):1411--1424, 2016.

Cited By

View all
  • (2023)JinnProceedings of the 32nd USENIX Conference on Security Symposium10.5555/3620237.3620627(6965-6982)Online publication date: 9-Aug-2023
  • (2023)A New Optimal Method for the Secure Design of Combinational Circuits against Hardware Trojans Using Interference Logic LockingElectronics10.3390/electronics1205110712:5(1107)Online publication date: 23-Feb-2023
  • (2023)Hybrid Protection of Digital FIR FiltersIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.325364131:6(812-825)Online publication date: 1-Jun-2023
  • Show More Cited By

Index Terms

  1. Comparative Analysis of Hardware Obfuscation for IP Protection

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    GLSVLSI '17: Proceedings of the Great Lakes Symposium on VLSI 2017
    May 2017
    516 pages
    ISBN:9781450349727
    DOI:10.1145/3060403
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 10 May 2017

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. combinational obfuscation
    2. hardware ip protection
    3. sequential obfuscation

    Qualifiers

    • Research-article

    Funding Sources

    Conference

    GLSVLSI '17
    Sponsor:
    GLSVLSI '17: Great Lakes Symposium on VLSI 2017
    May 10 - 12, 2017
    Alberta, Banff, Canada

    Acceptance Rates

    GLSVLSI '17 Paper Acceptance Rate 48 of 197 submissions, 24%;
    Overall Acceptance Rate 312 of 1,156 submissions, 27%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)83
    • Downloads (Last 6 weeks)9
    Reflects downloads up to 30 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)JinnProceedings of the 32nd USENIX Conference on Security Symposium10.5555/3620237.3620627(6965-6982)Online publication date: 9-Aug-2023
    • (2023)A New Optimal Method for the Secure Design of Combinational Circuits against Hardware Trojans Using Interference Logic LockingElectronics10.3390/electronics1205110712:5(1107)Online publication date: 23-Feb-2023
    • (2023)Hybrid Protection of Digital FIR FiltersIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.325364131:6(812-825)Online publication date: 1-Jun-2023
    • (2022)Designing ML-resilient locking at register-transfer levelProceedings of the 59th ACM/IEEE Design Automation Conference10.1145/3489517.3530541(769-774)Online publication date: 10-Jul-2022
    • (2022)Ephemeral Key-based Hybrid Hardware Obfuscation2022 19th International Bhurban Conference on Applied Sciences and Technology (IBCAST)10.1109/IBCAST54850.2022.9990230(646-652)Online publication date: 16-Aug-2022
    • (2021)Vertical IP Protection of the Next-Generation Devices: Quo Vadis?2021 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE51398.2021.9474132(1905-1914)Online publication date: 1-Feb-2021
    • (2021)Challenging the Security of Logic Locking Schemes in the Era of Deep Learning: A Neuroevolutionary ApproachACM Journal on Emerging Technologies in Computing Systems10.1145/343138917:3(1-26)Online publication date: 11-May-2021
    • (2021)A Formal Approach to Accountability in Heterogeneous Systems-on-ChipIEEE Transactions on Dependable and Secure Computing10.1109/TDSC.2020.297041718:6(2926-2940)Online publication date: 1-Nov-2021
    • (2020)A secure hardware-software solution based on RISC-V, logic locking and microkernelProceedings of the 23th International Workshop on Software and Compilers for Embedded Systems10.1145/3378678.3391886(62-65)Online publication date: 25-May-2020
    • (2019)Control-LockProceedings of the 2019 Great Lakes Symposium on VLSI10.1145/3299874.3317983(27-32)Online publication date: 13-May-2019
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media