Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/309847.309975acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article
Free access

Stand-by power minimization through simultaneous threshold voltage selection and circuit sizing

Published: 01 June 1999 Publication History
First page of PDF

References

[1]
N. Rohrer, et al. "A 480MHz RISC microprocessor in a 0.12 um Left CMOS technology with copper interconnects", IEEE International Solid-State Circuits Conference, 1998.
[2]
Y. Oowaki, et al., "A Sub-0.1um Circuit Design with Substrate-over-Biasing", ISSCC, page 88, February 1998.
[3]
J. Kao, A. Chandrakasan, D. Antoniadis, "Transistor sizing issues and tool for multi-threshold CMOS technology", Proc. Design Automation Conference, 1997
[4]
L.Wei, et al. "Design and Optimization of Low Voltage High Performance Dual Threshold CMOS Circuits", 35th Design Automation Conference, 1998
[5]
Qi Wang, et al. "Static power optimization of deep submicron CMOS circuits for dual Vt technology," ICCAD 1998.
[6]
Z. Chen, et al. "Estimation of Standby Leakage Power in CMOS Circuits Considering Accurate Modeling of Transistor Stacks", ISLPED, 1998.
[7]
J. Halter and EN. Najm, "A gate-level leakage power reduction method for ultra-low-power CMOS circuits," Custom Integrated Circuit Conference, 1997.
[8]
E Pant, et. al., "Device-circuit optimization for minimal energy and power consumption in CMOS random logic networks," 34th Design Automation Conference, June 1997.
[9]
S.Ercolani, M.Favalli, M.Damiani, EOlivo, B.Ricco. "Testability Measures in Pseudorandom Testing", IEEE Trans. on CAD, 1992, v.11, n.6, pp.794-800.
[10]
J.E Fishburn, et al., "TILOS: A posynomial programming approach to transistor sizing," ICCAD, Nov 1985
[11]
A. Dharchoudhury, et al., "Fast and accurate timing simulation with regionwise quadratic models of MOS I-V characteristics," ICCAD, Nov. 1994, pp 190-194
[12]
A. Dharchoudhury, et. al., "Transistor-level sizing and timing verification of domino circuits in the PowerPCTM microprocessor," ICCD, October 1997.

Cited By

View all
  • (2023)Adaptive terminal sliding mode controller design for cyber‐physical systems under external disturbance and actuator cyber‐attackInternational Journal of Adaptive Control and Signal Processing10.1002/acs.357737:6(1369-1388)Online publication date: 1-Jun-2023
  • (2016)Leakage Power Minimization in Deep Sub-Micron Technology by Exploiting Positive Slacks of Dependent PathsProceedings of the 26th edition on Great Lakes Symposium on VLSI10.1145/2902961.2902991(365-368)Online publication date: 18-May-2016
  • (2015)On Characterizing the Data Access Complexity of ProgramsACM SIGPLAN Notices10.1145/2775051.267701050:1(567-580)Online publication date: 14-Jan-2015
  • Show More Cited By

Index Terms

  1. Stand-by power minimization through simultaneous threshold voltage selection and circuit sizing

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '99: Proceedings of the 36th annual ACM/IEEE Design Automation Conference
    June 1999
    1000 pages
    ISBN:1581131097
    DOI:10.1145/309847
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 June 1999

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. dual-Vt
    2. leakage
    3. low-power-design

    Qualifiers

    • Article

    Conference

    DAC99
    Sponsor:
    DAC99: The 36th ACM/IEEE-CAS/EDAC Design Automation Conference
    June 21 - 25, 1999
    Louisiana, New Orleans, USA

    Acceptance Rates

    DAC '99 Paper Acceptance Rate 154 of 451 submissions, 34%;
    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)41
    • Downloads (Last 6 weeks)8
    Reflects downloads up to 15 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)Adaptive terminal sliding mode controller design for cyber‐physical systems under external disturbance and actuator cyber‐attackInternational Journal of Adaptive Control and Signal Processing10.1002/acs.357737:6(1369-1388)Online publication date: 1-Jun-2023
    • (2016)Leakage Power Minimization in Deep Sub-Micron Technology by Exploiting Positive Slacks of Dependent PathsProceedings of the 26th edition on Great Lakes Symposium on VLSI10.1145/2902961.2902991(365-368)Online publication date: 18-May-2016
    • (2015)On Characterizing the Data Access Complexity of ProgramsACM SIGPLAN Notices10.1145/2775051.267701050:1(567-580)Online publication date: 14-Jan-2015
    • (2015)Specification Inference Using Context-Free Language ReachabilityACM SIGPLAN Notices10.1145/2775051.267697750:1(553-566)Online publication date: 14-Jan-2015
    • (2015)Sound Modular Verification of C Code Executing in an Unverified ContextACM SIGPLAN Notices10.1145/2775051.267697250:1(581-594)Online publication date: 14-Jan-2015
    • (2013)Discrete sizing for leakage power optimization in physical designACM Transactions on Design Automation of Electronic Systems10.1145/2390191.239020618:1(1-11)Online publication date: 16-Jan-2013
    • (2012)Parametric yield-driven resource binding in high-level synthesis with multi-Vth/Vdd library and device sizingJournal of Electrical and Computer Engineering10.1155/2012/1052502012(3-3)Online publication date: 1-Jan-2012
    • (2012)A planner-based approach to generate and analyze minimal attack graphApplied Intelligence10.1007/s10489-010-0266-836:2(369-390)Online publication date: 1-Mar-2012
    • (2011)Improving dual V technology by simultaneous gate sizing and mechanical stress optimizationProceedings of the International Conference on Computer-Aided Design10.5555/2132325.2132485(732-735)Online publication date: 7-Nov-2011
    • (2011)Post sign-off leakage power optimizationProceedings of the 48th Design Automation Conference10.1145/2024724.2024829(453-458)Online publication date: 5-Jun-2011
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media