Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Characterizing 3D Floating Gate NAND Flash: Observations, Analyses, and Implications

Published: 12 April 2018 Publication History
  • Get Citation Alerts
  • Abstract

    As both NAND flash memory manufacturers and users are turning their attentions from planar architecture towards three-dimensional (3D) architecture, it becomes critical and urgent to understand the characteristics of 3D NAND flash memory. These characteristics, especially those different from planar NAND flash, can significantly affect design choices of flash management techniques. In this article, we present a characterization study on the state-of-the-art 3D floating gate (FG) NAND flash memory through comprehensive experiments on an FPGA-based 3D NAND flash evaluation platform. We make distinct observations on its performance and reliability, such as operation latencies and various error patterns, followed by careful analyses from physical and circuit-level perspectives. Although 3D FG NAND flash provides much higher storage densities than planar NAND flash, it faces new performance challenges of garbage collection overhead and program performance variations and more complicated reliability issues due to, e.g., distinct location dependence and value dependence of errors. We also summarize the differences between 3D FG NAND flash and planar NAND flash and discuss implications on the designs of NAND flash management techniques brought by the architecture innovation. We believe that our work will facilitate developing novel 3D FG NAND flash-oriented designs to achieve better performance and reliability.

    References

    [1]
    Seiichi Aritome, Yoohyun Noh, Hyunseung Yoo, Eun-Seok Choi, Han-Soo Joo, Youngsoo Ahn, Byeongil Han, Sungjae Chung, Keonsoo Shim, Keunwoo Lee, Sanghyon Kwak, Sungchul Shin, Iksoo Choi, Sanghyuk Nam, Gyuseog Cho, Dongsun Sheen, Seungho Pyi, Jongmoo Choi, Sungkye Park, Jinwoong Kim, Seokkiu Lee, Sungjoo Hong, Sungwook Park, and Takamaro Kikkawa. 2013. Advanced DC-SF cell technology for 3D NAND flash. IEEE Trans. Electr. Devices 60, 4 (Mar. 2013), 1327--1333.
    [2]
    David A. Baglee. 1984. Characteristics 8 reliability of 100A oxides. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS’84). 152--155.
    [3]
    Simona Boboila and Peter Desnoyers. 2010. Write endurance in flash drives: Measurements and analysis. In Proceedings of the USENIX Conference on File and Storage Technologies (FAST’10). 115--128.
    [4]
    Yu Cai, Erich F. Haratsch, and Onur Mutlu amd Ken Mai. 2013. Threshold voltage distribution in MLC NAND flash memory: Characterization, analysis, and modeling. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE’13). 1285--1290.
    [5]
    Yu Cai, Erich F. Haratsch, Onur Mutlu, and Ken Mai. 2012. Error patterns in MLC NAND flash memory: Measurement, characterization, and analysis. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE’12). 521--526.
    [6]
    Yu Cai, Yixin Luo, Saugata Ghose, and Onur Mutlu. 2015. Read disturb errors in MLC NAND flash memory: Characterization, mitigation, and recovery. In Proceedings of the Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN’15). 438--449.
    [7]
    Yu Cai, Yixin Luo, Erich F. Haratsch, Ken Mai, and Onur Mutlu. 2015. Data retention in MLC NAND flash memory: Characterization, optimization, and recovery. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA’15). 551--563.
    [8]
    Yu Cai, Onur Mutlu, Erich F. Haratsch, and Ken Mai. 2013. Program interference in MLC NAND flash memory: Characterization, modeling, and mitigation. In Proceedings of the IEEE International Conference on Computer Design (ICCD). Asheville, NC, USA, 123--130.
    [9]
    Yu Cai, Gulay Yalcin, Onur Mutlu, Erich F. Haratsch, Adrian Cristal, Osman S. Unsal, and Ken Mai. 2012. Flash correct-and-refresh: Retention-aware error management for increased flash memory lifetime. In Proceedings of the IEEE International Conference on Computer Design (ICCD’12). 94--101.
    [10]
    Jim Cooke. 2017. Overcoming challenges in 3D NAND volume manufacturing. In Proceedings of the Flash Memory Summit. 1--21.
    [11]
    Robin Degraeve, F. Schuler, Ben Kaczer, Martino Lorenzini, Dirk Wellekens, Paul Hendrickx, Michiel van Duuren, G. J. M. Dormans, Jan Van Houdt, L. Haspeslagh, Guido Groeseneken, and Georg Tempel. 2004. Analytical percolation model for predicting anomalous charge loss in flash memories. IEEE Trans. Electr. Devices 51, 9 (Aug. 2004), 1392--1400.
    [12]
    Peter Desnoyers. 2010. Empirical evaluation of NAND flash memory performance. ACM SIGOPS Operat. Syst. Rev. 44, 1 (Jan. 2010), 50--54.
    [13]
    Ralph Howard Fowler and L. Nordheim. 1928. Electron emission in intense electric fields. In Proceedings of Royal Society of London A 119, 781 (May. 1928), 173--181.
    [14]
    Andrea Ghetti, Christian Monzio Compagnoni, Alessandro S. Spinelli, and Angelo Visconti. 2009. Comprehensive analysis of random telegraph noise instability and its scaling in deca-nanometer flash memories. IEEE Trans. Electr. Devices 56, 8 (Jul. 2009), 1746--1752.
    [15]
    Laura M. Grupp, Adrian M. Caulfield, Joel Coburn, Steven Swanson, Eitan Yaakobi, Paul H. Siegel, and Jack K. Wolf. 2009. Characterizing flash memory: Anomalies, observations, and applications. In Proceedings of the Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’09). 24--33.
    [16]
    Laura M. Grupp, John D. Davis, and Steven Swanson. 2013. The Harey tortoise: Managing heterogeneous write performance in SSDs. In Proceedings of the USENIX Annual Technical Conference. 79--90.
    [17]
    International Data Corporation. Where in the world is storage. Retrieved from https://www.idc.com/IDC_Storage-infographic.jsp.
    [18]
    Myoungsoo Jung, Wonil Choi, Shekhar Srikantaiah, Joonhyuk Yoo, and Mahmut T. Kandemir. 2014. HIOS: A host interface I/O scheduler for solid state disks. In Proceedings of the Annual International Symposium on Computer Architecuture (ISCA’14). 289--300.
    [19]
    Jaeho Kim, Jongmin Lee, Jongmoo Choi, Donghee Lee, and Sam H. Noh. 2013. Improving SSD reliability with RAID via elastic striping and anywhere parity. In Proceedings of the Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN’13). 1--12.
    [20]
    Kinam Kim. 2008. Future memory technology: Challenges and opportunities. In Proceedings of the International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA’08). 5--9.
    [21]
    Chang-Hyun Lee, Jungdal Choi, Changseok Kang, Yoocheol Shin, Jang-Sik Lee, Jongsun Sel, Jaesung Sim, Sanghun Jeon, Byeong-In Choe, Dukwon Bae1, Kitae Park, and Kinam Kim. 2006. Multi-level NAND flash memory with 63 nm-node TANOS (Si-Oxide-SiN-Al2O3-TaN) cell structure. In Digest of Technical Papers of the IEEE Symposium on VLSI Technology. 21--22.
    [22]
    Jae-Duk Lee, Chi-Kyung Lee, Myung-Won Lee, Han-Soo Kim, Kyu-Charn Park, and Won-Seong Lee. 2006. A new programming disturbance phenomenon in NAND flash memory by source/drain hot-electrons generated by GIDL current. In Proceedings of the IEEE Non-Volatile Semiconductor Memory Workshop (NVSMW’06). 31--33.
    [23]
    Kyunghwan Lee, Myounggon Kang, Seongjun Seo, Dong-Hua Li, Jungki Kim, and Hyungcheol Shin. 2013. Analysis of failure mechanisms and extraction of activation energies () in 21-nm NAND flash cells. IEEE Electr. Device Lett. 34, 1 (Jan. 2013), 48--50.
    [24]
    Rino Micheloni. 2016. 3D Flash Memories. Springer.
    [25]
    Rino Micheloni, Luca Crippa, and Alessia Marelli. 2010. Inside NAND Flash Memories. Springer Science 8 Business Media.
    [26]
    Krishna Parat and Chuck Dennison. 2015. A floating gate based 3D NAND technology with CMOS under array. In Technical Digest of the International Electron Devices Meeting (IEDM’15). 48--51.
    [27]
    Ki-Tae Park, Myounggon Kang, Doogon Kim, Soon-Wook Hwang, Byung Yong Choi, Yeong-Taek Lee, Changhyun Kim, and Kinam Kim. 2008. A zeroing cell-to-cell interference page architecture with temporary LSB storing and parallel MSB program scheme for MLC NAND flash memories. IEEE J. Solid-State Circ. 43, 4 (Mar. 2008), 919--928.
    [28]
    Moon-Sik Seo, Bong-Hoon Lee, Sung-Kye Park, and Tetsuo Endoh. 2012. Novel concept of the three-dimensional vertical FG NAND flash memory using the separated-sidewall control gate. IEEE Trans. Electr. Devices 59, 8 (Jun. 2012), 2078--2084.
    [29]
    Kang-Deog Suh, Byung-Hoon Suh, Young-Ho Lim, Jin-Ki Kim, Young-Joon Choi, Yong-Nam Koh, Sung-Soo Lee, Suk-Chon Kwon, Byung-Soon Choi, Jin-Sun Yum, Jung-Hyuk Choi, Jang-Rae Kim, and Hyung-Kyu Lim. 1995. A 3.3 V 32 Mb NAND flash memory with incremental step pulse programming scheme. IEEE J. Solid-State Circ. 30, 11 (Aug. 1995), 1149--1156.
    [30]
    Sung-Jin Whang, Ki-Hong Lee, Dae-Gyu Shin, Beom-Yong Kim, Min-Soo Kim, Jin-Ho Bin, Ji-Hye Han, Sung-Jun Kim, Bo-Mi Lee, Young-Kyun Jung, Sung-Yoon Cho, Chang-Hee Shin, Hyun-Seung Yoo, Sang-Moo Choi, Kwon Hong, Seiichi Aritome, Sung-Ki Park, and Sung-Joo Hong. 2010. Novel 3-dimensional dual control-gate with surrounding floating-gate (DC-SF) NAND flash cell for 1Tb file storage application. In Technical Digest of the International Electron Devices Meeting (IEDM’10). 668--671.
    [31]
    Yeong-Jae Woo and Jin-Soo Kim. 2013. Diversifying wear index for MLC NAND flash memory to extend the lifetime of SSDs. In Proceedings of the International Conference on Embedded Software (EMSOFT’13). 1--10.
    [32]
    Qin Xiong, Fei Wu, Zhonghai Lu, Yue Zhu, You Zhou, Yibing Chu, Changsheng Xie, and Ping Huang. 2017. Characterizing 3D floating gate NAND flash. In Proceedings of the ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems. 32--33.
    [33]
    Hyun-Seung Yoo, Eun-Seok Choi, Han-Soo Joo, Gyu-Seog Cho, Sung-Kye Park, Seiichi Aritome, Seok-Kiu Lee, and Sung-Joo Hong. 2011. New read scheme of variable Vpass-read for dual control gate with surrounding floating gate (DC-SF) NAND flash cell. In Proceedings of the IEEE International Memory Workshop (IMW’11). 1--4.
    [34]
    Kai Zhao, Wenzhe Zhao, Hongbin Sun, Tong Zhang, Xiaodong Zhang, and Nanning Zheng. 2013. LDPC-in-SSD: Making advanced error correction codes work effectively in solid state drives. In Proceedings of the USENIX Conference on File and Storage Technologies (FAST’13). 243--256.
    [35]
    You Zhou, Fei Wu, Ping Huang, Xubin He, Changsheng Xie, and Jian Zhou. 2015. An efficient page-level FTL to optimize address translation in flash memory. In Proceedings of the European Conference on Computer Systems (EuroSys’15). 1--16.

    Cited By

    View all
    • (2024)Modeling Retention Errors of 3D NAND Flash for Optimizing Data PlacementACM Transactions on Design Automation of Electronic Systems10.1145/365910129:4(1-24)Online publication date: 16-Apr-2024
    • (2024)PEAR: Unbalanced Inter-Page Errors Aware Read Scheme for Latency-Efficient 3-D NAND FlashIEEE Transactions on Device and Materials Reliability10.1109/TDMR.2023.334619024:1(49-58)Online publication date: Mar-2024
    • (2024)Random Flip Bit Aware Reading for Improving High-Density 3-D NAND Flash PerformanceIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2024.336690271:5(2372-2383)Online publication date: May-2024
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Storage
    ACM Transactions on Storage  Volume 14, Issue 2
    May 2018
    210 pages
    ISSN:1553-3077
    EISSN:1553-3093
    DOI:10.1145/3208078
    • Editor:
    • Sam H. Noh
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 12 April 2018
    Accepted: 01 November 2017
    Revised: 01 September 2017
    Received: 01 June 2017
    Published in TOS Volume 14, Issue 2

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. 3D floating gate NAND flash
    2. MLC
    3. error pattern

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)189
    • Downloads (Last 6 weeks)24
    Reflects downloads up to 26 Jul 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Modeling Retention Errors of 3D NAND Flash for Optimizing Data PlacementACM Transactions on Design Automation of Electronic Systems10.1145/365910129:4(1-24)Online publication date: 16-Apr-2024
    • (2024)PEAR: Unbalanced Inter-Page Errors Aware Read Scheme for Latency-Efficient 3-D NAND FlashIEEE Transactions on Device and Materials Reliability10.1109/TDMR.2023.334619024:1(49-58)Online publication date: Mar-2024
    • (2024)Random Flip Bit Aware Reading for Improving High-Density 3-D NAND Flash PerformanceIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2024.336690271:5(2372-2383)Online publication date: May-2024
    • (2024)Minato: A Read-Disturb-Aware Dynamic Buffer Management Scheme for NAND Flash MemoryIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.336410943:7(1930-1943)Online publication date: Jul-2024
    • (2024) Silicon‐Compatible Ferroelectric Tunnel Junctions with a SiO 2 /Hf 0.5 Zr 0.5 O 2 Composite Barrier as Low‐Voltage and Ultra‐High‐Speed Memristors Advanced Materials10.1002/adma.20221130536:15Online publication date: 7-Feb-2024
    • (2023)Exploiting Metadata to Estimate Read Reference Voltage for 3-D nand Flash MemoryIEEE Transactions on Consumer Electronics10.1109/TCE.2022.321358569:1(9-17)Online publication date: Mar-2023
    • (2023)Exploiting the Single-Symbol LLR Variation to Accelerate LDPC Decoding for 3-D nand Flash MemoryIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.329707042:12(5146-5150)Online publication date: 1-Dec-2023
    • (2023)PcGC: A Parity-Check Garbage Collection for Boosting 3-D NAND Flash PerformanceIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.328151742:12(4364-4377)Online publication date: 1-Dec-2023
    • (2023)LDPC Level Prediction Toward Read Performance of High-Density Flash MemoriesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.323884542:10(3264-3274)Online publication date: 30-Jan-2023
    • (2023)Improving 3-D NAND SSD Read Performance by Parallelizing Read-RetryIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.319125642:3(768-780)Online publication date: 1-Mar-2023
    • Show More Cited By

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media