Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

System-Level Analysis of 3D ICs with Thermal TSVs

Published: 23 October 2018 Publication History

Abstract

3D stacking of integrated circuits (ICs) provides significant advantages in saving device footprints, improving power management, and continuing performance enhancement, particularly for many-core systems. However, the stacked structure makes the heat dissipation a challenging issue. While Thermal Through Silicon Via (TTSV) is a promising way of lowering the thermal resistance of dies, past research has either overestimated or underestimated the effects of TTSVs as a consequence of the lack of detailed 3D IC models or system-level simulations. Here, we propose a simulation flow to accurately simulate TTSV effects on 3D ICs. We adopt benchmarks from Splash-2 running on a full-system mode of the gem5 simulator, which generates all the system component activities. McPAT is used to generate the corresponding power consumption and the power traces are fed to HotSpot for thermal simulation. The temperature profiles of 2D and 3D Nehalem-like ×86 processors are compared. TTSVs are later placed close to hotspot regions to facilitate heat dissipation; the peak temperature of 3D Nehalem is reduced by 5--25% with a small area overhead of 6%. By using a detailed 3D thermal model, full-system simulation, and a validated thermal simulator, our results show accurate thermal analysis of 3D ICs.

References

[1]
A. Agrawal, J. Torrellas, and S. Idgunji. 2017. Xylem: Enhancing vertical thermal conduction in 3D processor-memory stacks. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 546--559.
[2]
A. Bar-Cohen and P. Wang. 2012. Thermal management of on-chip hot spot. J. Heat Transfer 134, 5 (2012), 51017.
[3]
E. Beyne et al. 2008. Through-silicon via and die stacking technologies for microsystems- integration. In Technical Digest -- International Electron Devices Meeting (IEDM).
[4]
N. Binkert et al. 2011. The gem5 simulator. ACM SIGARCH Comput. Archit. News 39, 2 (2011), 1.
[5]
B. Black et al. 2006. Die stacking (3D) microarchitecture. In Proceedings of the Annual International Symposium on Microarchitecture (MICRO’06), 469--479.
[6]
P. Budhathoki, A. Henschel, and I. A. M. Elfadel. 2014. Thermal-driven 3D floorplanning using localized TSV placement. In Proceedings of the IEEE International Conference on Integrated Circuit Design and Technology (ICICDT’14).
[7]
J. Burns. 2011. TSV-based 3D integration. In Three Dimensional System Integration: IC Stacking Process and Design. Springer, 13--32.
[8]
T. E. Carlson, W. Heirmant, and L. Eeckhout. 2011. Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation. In Proceedings of the 2011 International Conference for High Performance Computing, Networking, Storage and Analysis (SC’11), 1--12.
[9]
K. N. Chen and C. S. Tan. 2011. Integration schemes and enabling technologies for three-dimensional integrated circuits. IET Comput. Digital Tech. 5, 3 (2011) 160--168.
[10]
C. P. Chen, Y. Weng, and G. Subbarayan. 2016. Topology optimization for efficient heat removal in 3D packages. In Proceedings of the 15th InterSociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm’16), 238--244.
[11]
T.-Y. C. T.-Y. Chiang, S. J. Souri, C. O. C. C. O. Chui, and K. C. Saraswat. 2001. Thermal analysis of heterogeneous 3D ICs with various integration scenarios. Int. Electron Devices Meet. Tech. Dig. (Cat. No. 01CH37224), 681--684.
[12]
H. C. Chien et al. 2012. Thermal evaluation and analyses of 3D IC integration SiP with TSVs for network system applications. In Proceedings of the Electronic Components and Technology Conference, 1866--1873.
[13]
E. G. Colgan et al. 2012. Measurement of microbump thermal resistance in 3D chip stacks. In Proceedings of the Annual IEEE Semiconductor Thermal Measurement and Management Symposium, 1--7.
[14]
E. G. Colgan, R. J. Polastre, J. Knickerbocker, J. Wakil, J. Gambino, and K. Tallman. 2013. Measurement of back end of line thermal resistance for 3D chip stacks. In Proceedings of the Annual IEEE Semiconductor Thermal Measurement and Management Symposium, 23--28.
[15]
J. Cong and Y. Zhang. 2005. Thermal via planning for 3-D ICs. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design -- Digest of Technical Papers (ICCAD’05), 744--751.
[16]
D. Edelstein et al. 2004. Comprehensive reliability evaluation of a 90 nm CMOS technology with Cu/PECVD low-k BEOL. In IEEE International Reliability Physics Symposium Proceedings, 2004, 316--319.
[17]
P. Emma et al. 2014. 3D stacking of high-performance processors. In Proceedings of the International Symposium on High-Performance Computer Architecture, 500--511.
[18]
B. S. Feero and P. P. Pande. 2009. Networks-on-chip in a three-dimensional environment: A performance evaluation. IEEE Trans. Comput. 58, 1 (2009), 32--45.
[19]
B. Goplen and S. Sapatnekar. 2005. Thermal via placement in 3D ICs. In Proceedings of the 2005 International Symposium on Physical Design (ISPD’05), 167.
[20]
W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron, and M. R. Stan. 2006. HotSpot: A compact thermal modeling methodology for early-stage VLSI design. IEEE Trans. Very Large Scale Integr. Syst. 14, 5 (2006), 501--513.
[21]
S. G. Kandlikar. 2014. Review and projections of integrated cooling systems for three-dimensional integrated circuits. J. Electron. Packag. 136, 2 (2014), 24001.
[22]
D. H. Kim, K. Athikulwongse, and S. K. Lim. 2013. Study of through-silicon-via impact on the 3-D stacked ic layout. IEEE Trans. Very Large Scale Integr. Syst. 21, 5 (2013), 862--874.
[23]
J. U. Knickerbocker et al. 2008. 3D silicon integration. In Proceedings of the Electronic Components and Technology Conference, 2008, 538--543.
[24]
R. Kumar and G. Hinton. 2009. A family of 45nm IA processors. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC’09) -- Digest of Technical Papers, 58--59.
[25]
J. H. Lau and T. G. Yue. 2009. Thermal management of 3D IC integration with TSV (Through silicon via). In Proceedings of the Electronic Components and Technology Conference, 635--640.
[26]
J. H. Lau. 2011. Evolution, challenge, and outlook of TSV, 3D IC integration and 3D silicon integration. In Proceedings of the International Symposium on Advanced Packaging Materials, 462--488.
[27]
S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi. 2009. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’09), 469--480.
[28]
G. H. Loh. 2008. 3D-stacked memory architectures for multi-core processors. In Proceedings of the International Symposium on Computer Architecture, 453--464.
[29]
J. Meng, K. Kawakami, and A. K. Coskun. 2012. Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints. In Proceedings of the Design Automation Conference, 648.
[30]
C.-W. Nan, R. Birringer, D. R. Clarke, and H. Gleiter. 1997. Effective thermal conductivity of particulate composites with interfacial thermal resistance. J. Appl. Phys. 81, 10 (1997), 6692--6699.
[31]
A. Patel, F. Afram, S. Chen, and K. Ghose. 2011. MARSS: A full system simulator for multicore ×86 CPUs. In Proceedings of the 48th Design Automation Conference, 1050--1055.
[32]
H. N. Phan and D. Agonafer. 2010. Experimental analysis model of an active cooling method for 3D-ICs utilizing a multidimensional configured thermoelectric. In Proceedings of the Annual IEEE Semiconductor Thermal Measurement and Management Symposium, 55--58.
[33]
K. Puttaswamy and G. H. Loh. 2006. Thermal analysis of a 3D die-stacked high-performance microprocessor. In Proceedings of the 16th ACM Great Lakes Symposium on VLSI, 19--24.
[34]
Y. Q. Y. Qian, Z. L. Z. Lu, and W. D. W. Dou. 2009. From 2D to 3D NoCs: A case study on worst-case communication performance. 2009 IEEE/ACM International Conference on Computer-Aided Design -- Digest of Technical Papers, 555--562.
[35]
Z. Ren and J. Lee. 2018. Thermal conductivity anisotropy in holey silicon nanostructures and its impact on thermoelectric cooling. Nanotechnology 29, 4 (2018).
[36]
P. Ren et al. 2012. Hornet: A cycle-level multicore simulator. IEEE Trans. Comput. Des. Integr. Circuits Syst. 31, 6 (2012), 890--903.
[37]
D. Sanchez and C. Kozyrakis. 2013. ZSim: Fast and accurate microarchitectural simulation of thousand-core systems. In ACM SIGARCH Comput. Architect. News 41, 3 (2013), 475--486.
[38]
V. Venkatadri, B. Sammakia, K. Srihari, and D. Santos. 2011. A review of recent advances in thermal management in three dimensional chip stacks in electronic systems. J. Electron. Packag. 133, 4 (2011), 41011.
[39]
J. Wang et al. 2014. Manifold: A parallel simulation framework for multicore systems. In Proceedings of the 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS’14), 106--115.
[40]
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. 1995. The SPLASH-2 programs: Characterization and methodological considerations. In Proceedings of the 22nd Annual International Symposium on Computer Architecture, 1995, 24--36.
[41]
R. Zhang, M. R. Stan, and K. Skadron. 2015. HotSpot 6.0: Validation, acceleration and extension. Charlottesville.

Cited By

View all
  • (2024)Investigation of heat dissipation structure embedded in substrate of power chip based on grid-type thermal through silicon viasIEICE Electronics Express10.1587/elex.21.2024018521:11(20240185-20240185)Online publication date: 10-Jun-2024
  • (2024)Novel accurate steady-state thermal resistance model for power chips embedded in TTSVs heat dissipation arrayMicroelectronics Journal10.1016/j.mejo.2024.106336151(106336)Online publication date: Sep-2024
  • (2022)Design and implementation of thermal collection networks in 3-D IC structuresHeliyon10.1016/j.heliyon.2022.e087198:1(e08719)Online publication date: Jan-2022
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Journal on Emerging Technologies in Computing Systems
ACM Journal on Emerging Technologies in Computing Systems  Volume 14, Issue 3
July 2018
150 pages
ISSN:1550-4832
EISSN:1550-4840
DOI:10.1145/3287773
  • Editor:
  • Yuan Xie
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 23 October 2018
Accepted: 01 August 2018
Revised: 01 April 2018
Received: 01 December 2017
Published in JETC Volume 14, Issue 3

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. 3D ICs
  2. passive thermal management
  3. thermal through silicon via (TTSV)

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

  • Texas Analog Center of Excellence (TxACE)
  • Semiconductor Research Corporation (SRC)

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)52
  • Downloads (Last 6 weeks)7
Reflects downloads up to 14 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Investigation of heat dissipation structure embedded in substrate of power chip based on grid-type thermal through silicon viasIEICE Electronics Express10.1587/elex.21.2024018521:11(20240185-20240185)Online publication date: 10-Jun-2024
  • (2024)Novel accurate steady-state thermal resistance model for power chips embedded in TTSVs heat dissipation arrayMicroelectronics Journal10.1016/j.mejo.2024.106336151(106336)Online publication date: Sep-2024
  • (2022)Design and implementation of thermal collection networks in 3-D IC structuresHeliyon10.1016/j.heliyon.2022.e087198:1(e08719)Online publication date: Jan-2022
  • (2022)A universal high-efficiency cooling structure for high-power integrated circuits☆Applied Thermal Engineering10.1016/j.applthermaleng.2022.118849215(118849)Online publication date: Oct-2022
  • (2021)An Anisotropic Equivalent Thermal Model for Shield Differential Through-Silicon ViasMicromachines10.3390/mi1210122312:10(1223)Online publication date: 7-Oct-2021
  • (2021)A Micro-Channel Cooling Model for a Three-Dimensional Integrated Circuit Considering Through-Silicon ViasMicro and Nanosystems10.2174/187640291266620012315400113:1(49-54)Online publication date: Mar-2021
  • (2021)A Review of Recent Research on Heat Transfer in Three-Dimensional Integrated Circuits (3-D ICs)IEEE Transactions on Components, Packaging and Manufacturing Technology10.1109/TCPMT.2021.306403011:5(802-821)Online publication date: May-2021
  • (2021)An Efficient Thermal Removal Solution for the Monolithic 2-D/2.5-D/3-D ICs2021 IEEE 3rd PhD Colloquium on Ethically Driven Innovation and Technology for Society (PhD EDITS)10.1109/PhDEDITS53295.2021.9649523(1-2)Online publication date: 13-Nov-2021
  • (2020)Recent Advances in Thermal Metamaterials and Their Future Applications for Electronics PackagingJournal of Electronic Packaging10.1115/1.4047414143:1Online publication date: 29-Jun-2020
  • (2020)Thermal TSV Optimization and Hierarchical Floorplanning for 3-D Integrated CircuitsIEEE Transactions on Components, Packaging and Manufacturing Technology10.1109/TCPMT.2020.297038210:4(599-610)Online publication date: Apr-2020
  • Show More Cited By

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format.

HTML Format

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media