Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3287624.3287656acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article

ReRAM-based processing-in-memory architecture for blockchain platforms

Published: 21 January 2019 Publication History

Abstract

Blockchain's decentralized and consensus mechanism has attracted lots of applications, such as IoT devices. Blockchain maintains a linked list of blocks and grows by mining new blocks. However, the Blockchain mining consumes huge computation resource and energy, which is unacceptable for resource-limited embedded devices. This paper for the first time presents a ReRAM-based processing-in-memory architecture for Blockchain mining, called Re-Mining. Re-Mining includes a message schedule module and a SHA computation module. The modules are composed of several basic ReRAM-based logic operations units, such as ROR, RSF and XOR. Re-Mining further designs intra-transaction and inter-transaction parallel mechanisms to accelerate the Blockchain mining. Simulation results show that the proposed Re-Mining architecture outperforms CPU-based and GPU-based implementations significantly.

References

[1]
A. Dorri, et al., "Blockchain for IoT security and privacy: The case study of a smart home," in PerCom, 2017.
[2]
S. Morishima, et al., "Accelerating Blockchain Search of Full Nodes Using GPUs," in PDP, 2018.
[3]
I. Lin, et al., "A Survey of Blockchain Security Issues and Challenges," in IJ Network Security, 2017.
[4]
Y. Sakakibara, et al., "An FPGA NIC Based Hardware Caching for Blockchain," in HEART, 2017.
[5]
P. Chi, et al., "Prime: a novel processing-in-memory architecture for neural network computation in reram-based main memory," in ISCA, 2016.
[6]
L. Song, et al., "Graphr: accelerating graph processing using reram," in HPCA, 2018.
[7]
L. Han, et al., "A novel reram-based processing-in-memory archi-tecture for graph traversal," in TOS, 2018.
[8]
G. Zyskind, et al., "Decentralizing privacy: Using blockchain to protectpersonal data," in SPW, 2015.
[9]
Z. Xiong, et al., "When mobile blockchain meets edge computing:challenges and applications," in arXiv, 2017.
[10]
H. Akinaga, et al., "Resistive random access memory (reram) basedon metal oxides," in Proceedings of the IEEE, 2010.
[11]
M. Imani, et al., "Mpim: Multi-purpose in-memory processing using configurable resistive memory," in ASP-DAC, 2017.
[12]
Y. Zheng, et al., "Modeling framework for cross-point resistive-memory design emphasizing reliability and variability issues," in ASP-DAC, 2015.
[13]
X. Dong, et al., "Nvsim: A circuit-level performance, energy, andarea model for emerging nonvolatile memory," in TCAD, 2012.
[14]
S. Ali, et al., "Isaac: a convolutional neural network acceleratorwith in-situ analog arithmetic in crossbars," in SIGARCH, 2016.
[15]
S. Nakamoto, et al., "Bitcoin: A peer-to-peer electronic cash system," 2008.

Cited By

View all
  • (2024)A Bloom-Filter-Based Unique Address Checking Approach for DAG-Based Blockchain SystemsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.330139343:1(85-98)Online publication date: Jan-2024
  • (2024)System Support for Environmentally Sustainable Computing in Data Centers2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI61997.2024.00094(490-495)Online publication date: 1-Jul-2024
  • (2022)Single Cycle XOR (SCXOR) and Stateful n-bit Parallel Adder Implementation Using 2D RRAM CrossbarProceedings of the 17th ACM International Symposium on Nanoscale Architectures10.1145/3565478.3572329(1-6)Online publication date: 7-Dec-2022
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ASPDAC '19: Proceedings of the 24th Asia and South Pacific Design Automation Conference
January 2019
794 pages
ISBN:9781450360074
DOI:10.1145/3287624
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

  • IEICE ESS: Institute of Electronics, Information and Communication Engineers, Engineering Sciences Society
  • IEEE CAS
  • IEEE CEDA
  • IPSJ SIG-SLDM: Information Processing Society of Japan, SIG System LSI Design Methodology

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 21 January 2019

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Research-article

Conference

ASPDAC '19
Sponsor:

Acceptance Rates

Overall Acceptance Rate 466 of 1,454 submissions, 32%

Upcoming Conference

ASPDAC '25

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)19
  • Downloads (Last 6 weeks)0
Reflects downloads up to 15 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)A Bloom-Filter-Based Unique Address Checking Approach for DAG-Based Blockchain SystemsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.330139343:1(85-98)Online publication date: Jan-2024
  • (2024)System Support for Environmentally Sustainable Computing in Data Centers2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI61997.2024.00094(490-495)Online publication date: 1-Jul-2024
  • (2022)Single Cycle XOR (SCXOR) and Stateful n-bit Parallel Adder Implementation Using 2D RRAM CrossbarProceedings of the 17th ACM International Symposium on Nanoscale Architectures10.1145/3565478.3572329(1-6)Online publication date: 7-Dec-2022
  • (2022)Co-mining: a processing-in-memory assisted framework for memory-intensive PoW accelerationProceedings of the 23rd ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, and Tools for Embedded Systems10.1145/3519941.3535064(1-12)Online publication date: 14-Jun-2022
  • (2022)Re-LSMProceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design10.1145/3508352.3549392(1-9)Online publication date: 30-Oct-2022
  • (2022)Effective Scaling of Blockchain Beyond Consensus Innovations and Moore’s Law: Challenges and OpportunitiesIEEE Systems Journal10.1109/JSYST.2021.308779816:1(1424-1435)Online publication date: Mar-2022
  • (2022)Heterogeneous Data-Centric Architectures for Modern Data-Intensive Applications: Case Studies in Machine Learning and Databases2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI54635.2022.00060(273-278)Online publication date: Jul-2022
  • (2022)Emerging Memory Structures for VLSI CircuitsWiley Encyclopedia of Electrical and Electronics Engineering10.1002/047134608X.W8438(1-28)Online publication date: 12-May-2022
  • (2021)SSR: A Skeleton-based Synthesis Flow for Hybrid Processing-in-RRAM Modes2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD)10.1109/ICCAD51958.2021.9643493(1-9)Online publication date: 1-Nov-2021
  • (2021)An efficient highly parallelized ReRAM-based architecture for motion estimation of HEVCJournal of Systems Architecture10.1016/j.sysarc.2021.102123(102123)Online publication date: Apr-2021
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media