Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/331532.331547acmconferencesArticle/Chapter ViewAbstractPublication PagesscConference Proceedingsconference-collections
Article
Free access

MOM: a matrix SIMD instruction set architecture for multimedia applications

Published: 01 January 1999 Publication History
  • Get Citation Alerts
  • First page of PDF

    References

    [1]
    T.M. Conte et. al. Challenges to combine general-purpose and multimedia processors. IEEE Computer, pages 33-37, Dec 1997.
    [2]
    K. Diefendorff and P.K. Dubey. How multimedia workloads will change processor design. IEEE Micro, pages 43-45, Sep 1997.
    [3]
    Alex Peleg and Uri Weiser. MMX Technology Extension to the Intel Architecture. IEEE Micro, pages 42-50, August 1996.
    [4]
    Altivec Technology. Technical Report http://www.mot.com/SPS/PowerPC/AltiVec/, Motorola, Inc., 1998.
    [5]
    Marc Tremblay, J. Michael O'Connor, Venkatesh Narayanan, and Liang He. VIS Speeds New Media Processing. IEEE Micro, pages 10-20, August 1996.
    [6]
    Mips extension for digital media with 3d. Technical Report http://www.mips.com, MIPS technologies, Inc., 1997.
    [7]
    3dnow! technology manual. Technical Report http://www.amd.com, Advanced Micro Devices, Inc., 1999.
    [8]
    Pentium iii processor: Developer's manual. Technical Report http://developer.intel.com/design/PentiumIII, INTEL, 1999.
    [9]
    R.M.Russell. The cray-1 computer system. Communications of the ACM, 21:63-72, January 1978.
    [10]
    W.Oed. Cray y-mp c90: System features and early benchmark results. Parallel Computing, 18:947-954, August 1992.
    [11]
    et. al. Katsuyoshi Kitai. Distributed storage control unit for the hitachi s-3800 multivector supercomputer. International Conference on Supercomputing (ICS), pages 1-10, July 1994.
    [12]
    CONVEX Architecture Reference Manual (C Series). Convex Press, Richardson, Texas, U.S.A, 1992.
    [13]
    Krste Asanovic et. al. The to vector microprocessor. Hot Chips, VII:187-196, August 1995.
    [14]
    Corinna G. Lee and Derek J. DeVries. Initial results on the performance and cost of vector microprocessors. In Proceedings of the 30th Annual International Symposium on Microarchitecture, pages 171-182, Research Triangle Park, North Carolina, December 1-3, 1997. IEEE Computer Society TC-MICRO and ACM SIGMICRO.
    [15]
    Francisca Quintana, Jesus Corbal, Roger Espasa, and Mateo Valero. Adding a vector unit on a superscalar processor. International Conference on Supercomputing, Available at http://www.ac.upc.es/homes/roger/papers/list.html, June 1999.
    [16]
    Huy Nguyeni and Lizy Kurian John. Exploiting simd parallelism in dsp and multimedia algorithms using the altivec technology. International Conference on Supercomputing, 1999.
    [17]
    Parthasarathy Ranganathan, Sarita Adve, and Norman P. Jouppi. Performance of image and video processing with general-purpose and media isa extensions. International Symposium on Computer Architecture, May 1999.
    [18]
    D.J.Kuck and R.A.Stokes. The burroughs scientific processor (bsp). IEEE Transactions on Computers, pages 363-376, May 1982.
    [19]
    A. Beaumont-Smith, M. Liebelt, C.C. Lim, and K. To. A digital signal multi-processor for matrix applications. 14th Australian Microelectronics Conference, October 1997.
    [20]
    Chunho Lee, Miodrag Potkonjak, and William H. Mangione-Smith. MediaBench: A Tool for Evaluating and Synthesizing Multimedia and Communication Systems. In Proceedings of the 30th Annual International Symposiumon Microarchitecture, pages 330-335, Research Triangle Park, North Carolina, December 1-3, 1997. IEEE Computer Society TC-MICRO and ACMSIGMICRO.
    [21]
    Amitabh Srivastava and Alan Eustace. ATOM: A system for building customized program analysis tools. SIGPLAN Notices, 29(6):196-205, June 1994. Proceedings of the ACM SIGPLAN '94 Conference on Programming Language Design and Implementation.
    [22]
    Roger Espasa, Mateo Valero, and James E. Smith. Out-of-order Vector Architectures. In MICRO-30, pages 160-170. IEEE Press, December 1997.

    Cited By

    View all
    • (2022)SIMD2Proceedings of the 49th Annual International Symposium on Computer Architecture10.1145/3470496.3527411(552-566)Online publication date: 18-Jun-2022
    • (2019)Building High-Performance, Easy-to-Use Polymorphic Parallel Memories with HLSVLSI-SoC: Design and Engineering of Electronics Systems Based on New Computing Paradigms10.1007/978-3-030-23425-6_4(53-78)Online publication date: 26-Jun-2019
    • (2018)vbenchACM SIGPLAN Notices10.1145/3296957.317320753:2(797-809)Online publication date: 19-Mar-2018
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    SC '99: Proceedings of the 1999 ACM/IEEE conference on Supercomputing
    January 1999
    1015 pages
    ISBN:1581130910
    DOI:10.1145/331532
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 January 1999

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Article

    Conference

    SC '99
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 1,516 of 6,373 submissions, 24%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)44
    • Downloads (Last 6 weeks)9
    Reflects downloads up to 10 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)SIMD2Proceedings of the 49th Annual International Symposium on Computer Architecture10.1145/3470496.3527411(552-566)Online publication date: 18-Jun-2022
    • (2019)Building High-Performance, Easy-to-Use Polymorphic Parallel Memories with HLSVLSI-SoC: Design and Engineering of Electronics Systems Based on New Computing Paradigms10.1007/978-3-030-23425-6_4(53-78)Online publication date: 26-Jun-2019
    • (2018)vbenchACM SIGPLAN Notices10.1145/3296957.317320753:2(797-809)Online publication date: 19-Mar-2018
    • (2018)vbenchProceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3173162.3173207(797-809)Online publication date: 19-Mar-2018
    • (2018)MAX-PolyMem: High-Bandwidth Polymorphic Parallel Memories for DFEs2018 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)10.1109/IPDPSW.2018.00025(107-114)Online publication date: May-2018
    • (2018)The Case for Polymorphic Registers in Dataflow ComputingInternational Journal of Parallel Programming10.1007/s10766-017-0494-146:6(1185-1219)Online publication date: 1-Dec-2018
    • (2017)LACore: A Supercomputing-Like Linear Algebra Accelerator for SoC-Based Designs2017 IEEE International Conference on Computer Design (ICCD)10.1109/ICCD.2017.29(137-144)Online publication date: Nov-2017
    • (2013)Customized MMRF: Efficient Matrix Operations on SIMD ProcessorsApplied Mechanics and Materials10.4028/www.scientific.net/AMM.347-350.1727347-350(1727-1731)Online publication date: Aug-2013
    • (2013)Dataflow computing with Polymorphic Registers2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS)10.1109/SAMOS.2013.6621140(314-321)Online publication date: Jul-2013
    • (2013)Separable 2d convolution with polymorphic register filesProceedings of the 26th international conference on Architecture of Computing Systems10.1007/978-3-642-36424-2_27(317-328)Online publication date: 19-Feb-2013
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media