Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3316781.3326334acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article
Public Access

Toward an Open-Source Digital Flow: First Learnings from the OpenROAD Project

Published: 02 June 2019 Publication History

Abstract

We describe the planned Alpha release of OpenROAD, an open-source end-to-end silicon compiler. OpenROAD will help realize the goal of "democratization of hardware design", by reducing cost, expertise, schedule and risk barriers that confront system designers today. The development of open-source, self-driving design tools is in and of itself a "moon shot" with numerous technical and cultural challenges. The open-source flow incorporates a compatible open-source set of tools that span logic synthesis, floorplanning, placement, clock tree synthesis, global routing and detailed routing. The flow also incorporates analysis and support tools for static timing analysis, parasitic extraction, power integrity analysis, and cloud deployment. We also note several observed challenges, or "lessons learned", with respect to development of open-source EDA tools and flows.

References

[1]
S. N. Adya and I. L. Markov, "Fixed-Outline Floorplanning: Enabling Hierarchical Design", IEEE Trans. on VLSI 11(6) (2003), pp. 1120--1135.
[2]
C. J. Alpert, W.-K. Chow, K. Han, A. B. Kahng, Z. Li, D. Liu, S. Venkatesh, "Prim-Dijkstra Revisited: Achieving Superior Timing-driven Routing Trees", Proc. ISPD, 2018, pp. 10--17.
[3]
A. M. Caldwell, A. B. Kahng and I. L. Markov, "Toward CAD-IP Reuse: The MARCO GSRC Bookshelf of Fundamental CAD Algorithms", IEEE Design & Test of Computers 19(3) (2002), pp. 70--79.
[4]
W.-T. J. Chan, A. B. Kahng, S. Nath and I. Yamamoto, "The ITRS MPU and SOC System Drivers: Calibration and Implications for Design-Based Equivalent Scaling in the Roadmap", Proc. ICCD, 2014, pp. 153--160.
[5]
C.-K. Cheng, A. B. Kahng, I. Kang and L. Wang, "RePlAce: Advancing Solution Quality and Routability Validation in Global Placement", IEEE Trans. on CAD (2018), to appear.
[6]
M. Cho, K. Lu, K. Yuan and D. Z. Pan, "BoxRouter 2.0: Architecture and Implementation of a Hybrid and Robust Global Router", Proc. ICCAD, 2007, pp. 503--508.
[7]
K. Chodorow, MongoDB: The Definitive Guide: Powerful and Scalable Data Storage, O'Reilly Media, Inc., 2013.
[8]
C. Chu and Y.-C. Wong, "FLUTE: Fast Lookup Table Based Rectilinear Steiner Minimal Tree Algorithm for VLSI Design", IEEE Trans. on CAD 27(1) (2008), pp. 70--83.
[9]
M. Fogaça, G. Flach, J. Monteiro, M. Johann and R. Reis, "Quadratic Timing Objectives for Incremental Timing-Driven Placement Optimization", Proc. ICECS, 2016, pp. 620--623.
[10]
K. Han, A. B. Kahng and J. Li, "Optimal Generalized H-Tree Topology and Buffering for High-Performance and Low-Power Clock Distribution", IEEE Trans. on CAD (2018), to appear.
[11]
S. Hashemi, C.-T. Ho, A. B. Kahng, H.-Y. Liu and S. Reda, "METRICS 2.0: A Machine-Learning Based Optimization System for IC Design", Workshop on Open-Source EDA Technology, 2018, pp. 21:1--21:4.
[12]
A. B. Kahng, "OpenROAD: Toward a Self-Driving, Open-Source Digital Layout Implementation Tool ChainâĂŹâĂŹ, invited talk at the Emerging Technologies for EDA Workshop, Hsinchu, March 2019. https://vlsicad.ucsd.edu/NEWS19/OpenROAD-final-abk.pptx
[13]
A. B. Kahng, J. Li and L. Wang, "Improved Flop Tray-Based Design Implementation for Power Reduction", Proc. ICCAD, 2016, pp. 20:1--20:8.
[14]
A. B. Kahng, L. Wang and B. Xu, "TritonRoute: An Initial Detailed Router for Advanced VLSI Technologies", Proc. ICCAD, 2018, pp. 81:1--81:8.
[15]
C. Wolf, J. Glaser and J. Kepler, "Yosys -- A Free Verilog Synthesis Suite", Proc. Austrian Workshop on Microelectronics, 2013.
[16]
ICCAD-2019 Global Routing Contest, http://iccad-contest.org/2019/
[17]
ISPD-2018 Initial Detailed Routing Contest, http://www.ispd.cc/contests/18/
[18]
The METRICS Initiative, MARCO/DARPA Gigascale Silicon Research Center, https://vlsicad.ucsd.edu/GSRC/metrics/
[19]
The OpenROAD Project, https://theopenroadproject.org
[20]
OpenSTA, https://github.com/abk-openroad/OpenSTA
[21]
Parquet, http://vlsicad.eecs.umich.edu/BK/parquet/#DOWN
[22]
TritonCTS, https://github.com/abk-openroad/TritonCTS
[23]
VLSI CAD Bookshelf 2, MARCO/DARPA Gigascale Silicon Research Center, http://vlsicad.eecs.umich.edu/BK/
[24]
Workshop on Open-Source EDA Technology, http://woset.org

Cited By

View all
  • (2025)RANGE-BLOCKS: A Synchronization Facility for Domain-Specific ArchitecturesProceedings of the 30th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 110.1145/3669940.3707225(891-906)Online publication date: 3-Feb-2025
  • (2025)Analytical Heterogeneous Die-to-Die 3-D Placement With MacrosIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.344471644:2(402-415)Online publication date: Feb-2025
  • (2025)Eh-DRVP: Combining placement and global routing data in a hyper-image-based DRV predictorIntegration10.1016/j.vlsi.2024.102309101(102309)Online publication date: Mar-2025
  • Show More Cited By
  1. Toward an Open-Source Digital Flow: First Learnings from the OpenROAD Project

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '19: Proceedings of the 56th Annual Design Automation Conference 2019
    June 2019
    1378 pages
    ISBN:9781450367257
    DOI:10.1145/3316781
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Sponsors

    In-Cooperation

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 02 June 2019

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Research-article
    • Research
    • Refereed limited

    Funding Sources

    • DARPA

    Conference

    DAC '19
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)656
    • Downloads (Last 6 weeks)99
    Reflects downloads up to 03 Feb 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2025)RANGE-BLOCKS: A Synchronization Facility for Domain-Specific ArchitecturesProceedings of the 30th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 110.1145/3669940.3707225(891-906)Online publication date: 3-Feb-2025
    • (2025)Analytical Heterogeneous Die-to-Die 3-D Placement With MacrosIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.344471644:2(402-415)Online publication date: Feb-2025
    • (2025)Eh-DRVP: Combining placement and global routing data in a hyper-image-based DRV predictorIntegration10.1016/j.vlsi.2024.102309101(102309)Online publication date: Mar-2025
    • (2024)OpenROAD-Assistant: An Open-Source Large Language Model for Physical Design TasksProceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD10.1145/3670474.3685960(1-7)Online publication date: 9-Sep-2024
    • (2024)EMOGen: Enhancing Mask Optimization via Pattern GenerationProceedings of the 61st ACM/IEEE Design Automation Conference10.1145/3649329.3655680(1-6)Online publication date: 23-Jun-2024
    • (2024)Layout Verification Using Open-Source SoftwareProceedings of the 2024 International Symposium on Physical Design10.1145/3626184.3635289(137-142)Online publication date: 12-Mar-2024
    • (2024)On the Use of Open-Source EDA Tools for Teaching and Learning Microelectronics2024 XVI Congreso de Tecnología, Aprendizaje y Enseñanza de la Electrónica (TAEE)10.1109/TAEE59541.2024.10605001(1-6)Online publication date: 26-Jun-2024
    • (2024)CAD Architecture for Expansion of WSL-Based Combinational Circuits Dataset2024 International Russian Smart Industry Conference (SmartIndustryCon)10.1109/SmartIndustryCon61328.2024.10516075(294-298)Online publication date: 25-Mar-2024
    • (2024)DeTRAP: RISC-V Return Address Protection With Debug Triggers2024 IEEE Secure Development Conference (SecDev)10.1109/SecDev61143.2024.00021(166-177)Online publication date: 7-Oct-2024
    • (2024)On the Versatility of the IHP BiCMOS Open Source and Manufacturable PDK: A step towards the future where anybody can design and build a chipIEEE Solid-State Circuits Magazine10.1109/MSSC.2024.337290716:2(30-38)Online publication date: Sep-2025
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Login options

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media