Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3341105.3373849acmconferencesArticle/Chapter ViewAbstractPublication PagessacConference Proceedingsconference-collections
research-article

Reinforcing the energy efficiency of cyber-physical systems via direct and split cache consolidation on MLC STT-RAM

Published: 30 March 2020 Publication History

Abstract

Energy efficiency has become one of the primary considerations in the designs of cyber-physical systems (CPS). However, CPS with static RAM (SRAM)-based processors suffers from the high leakage power issue of SRAM, thus limiting the energy efficiency of CPS. Recently, Spin-Transfer Torque RAM (STT-RAM) has emerged and been widely regarded as a great alternative as the on-chip memory within processors, owing to STT-RAM's high density and near-zero leakage power characteristics. In addition, recent advances in Magnetic Tunneling Junction (MTJ) technology also realize the multi-level cell (MLC) STT-RAM to further enhance the memory density. Nevertheless, the write disturbance issue greatly limits the energy efficiency of MLC STT-RAM. Even though studies have been proposed to alleviate this issue, most of the previous disturbance reduction strategies could induce additional management overhead by utilizing counters or cause frequent swap operations when the write disturbance happens. Such observations motivate us to propose a simple and effective solution to unify the direct and split mapping cache designs for improving the energy efficiency of MLC STT-RAM. The proposed design is evaluated through a series of experiments on an emulator with encouraging results.

References

[1]
[n. d.]. Intel Skylake. https://www.7-cpu.com/cpu/Skylake.html?fbclid=IwAR2rzpl7Nc72sN15NwgH-CMvE6tyGLVfbN3S4S6649EurXAGuoIiVG8WxcA. [Online; accessed 15-August-2019].
[2]
ARM. [n.d.]. Cortex-A9 Technical Reference Manual. http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.ddi0388e/ch07s01s04.html. [Online; accessed 15-August-2019].
[3]
Todd Austin. [n. d.]. Simple Scalar. http://www.simplescalar.com/. [Online; accessed 15-August-2019].
[4]
X. Bi, M. Mao, D. Wang, and H. Li. 2013. Unleashing the potential of MLC STT-RAM caches. In 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 429--436.
[5]
Xunchao Chen, Navid Khoshavi, Ronald F DeMara, Jun Wang, Dan Huang, Wujie Wen, and Yiran Chen. 2017. Energy-aware adaptive restore schemes for MLC STT-RAM cache. IEEE Trans. Comput. 66, 5 (2017), 786--798.
[6]
X. Chen, N. Khoshavi, J. Zhou, D. Huang, R. F. DeMara, J. Wang, W. Wen, and Y. Chen. 2016. AOS: Adaptive overwrite scheme for energy-efficient MLC STT-RAM cache. In 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC). 1--6.
[7]
Y. Chen, X. Wang, W. Zhu, H. Li, Z. Sun, G. Sun, and Y. Xie. 2010. Access scheme of Multi-Level Cell Spin-Transfer Torque Random Access Memory and its optimization. In 2010 53rd IEEE International Midwest Symposium on Circuits and Systems. 1109--1112.
[8]
S. Hong, J. Lee, and S. Kim. 2014. Ternary cache: Three-valued MLC STT-RAM caches. In 2014 IEEE 32nd International Conference on Computer Design (ICCD). 83--89.
[9]
Fei Hu. 2014. Cyber-physical systems: integrated computing and engineering design. CRC Press.
[10]
T. Ishigaki, T. Kawahara, R. Takemura, K. Ono, K. Ito, H. Matsuoka, and H. Ohno. 2010. A multi-level-cell spin-transfer torque memory with series-stacked magnetotunnel junctions. In 2010 Symposium on VLSI Technology. 47--48.
[11]
Aamer Jaleel, Kevin B. Theobald, Simon C. Steely, Jr., and Joel Emer. 2010. High Performance Cache Replacement Using Re-reference Interval Prediction (RRIP). SIGARCH Comput. Archit. News 38, 3 (June 2010), 60--71.
[12]
L. Jiang, B. Zhao, Y. Zhang, and J. Yang. 2012. Constructing large and fast multi-level cell STT-MRAM based cache for embedded processors. In DAC Design Automation Conference 2012. 907--912.
[13]
L. Liu, P. Chi, S. Li, Y. Cheng, and Y. Xie. 2017. Building energy-efficient multi-level cell STT-RAM caches with data compression. In 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC). 751--756.
[14]
Felipe Sampaio, Muhammad Shafique, Bruno Zatt, Sergio Bampi, and Jörg Henkel. 2015. Approximation-aware Multi-level Cells STT-RAM Cache Architecture. In Proceedings of the 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES '15). IEEE Press, Piscataway, NJ, USA, 79--88.
[15]
Krishna Seshan. 2018. Chapter 2 - Limits and Hurdles to Continued CMOS Scaling. In Handbook of Thin Film Deposition (Fourth Edition) (fourth edition ed.), Krishna Seshan and Dominic Schepis (Eds.). William Andrew Publishing, 19 -- 41.
[16]
SPEC. [n.d.]. SPEC CPU2000. https://www.spec.org/cpu2000/. [Online; accessed 15-August-2019].
[17]
J. Wang, P. Roy, W. Wong, X. Bi, and H. Li. 2014. Optimizing MLC-based STT-RAM caches by dynamic block size reconfiguration. In 2014 IEEE 32nd International Conference on Computer Design (ICCD). 133--138.
[18]
K L Wang, J G Alzate, and P Khalili Amiri. 2013. Low-power non-volatile spintronic memory: STT-RAM and beyond. Journal of Physics D: Applied Physics 46, 7 (feb 2013), 074003.
[19]
Z. Wang, D. A. Jiménez, C. Xu, G. Sun, and Y. Xie. 2014. Adaptive placement and migration policy for an STT-RAM-based hybrid cache. In 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA). 13--24.
[20]
W. Wen, Y. Zhang, Mengjie Mao, and Y. Chen. 2014. State-restrict MLC STT-RAM designs for high-reliable high-performance memory system. In 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC). 1--6.
[21]
G. Xie, H. Peng, Z. Li, J. Song, Y. Xie, R. Li, and K. Li. 2018. Reliability Enhancement Toward Functional Safety Goal Assurance in Energy-Aware Automotive Cyber-Physical Systems. IEEE Transactions on Industrial Informatics 14, 12 (Dec 2018), 5447--5462.
[22]
Deze Zeng, Lin Gu, and Hong Yao. 2018. Towards energy efficient service composition in green energy powered Cyber-Physical Fog Systems. Future Generation Computer Systems (2018).
[23]
Y. Zhang, L. Zhang, W. Wen, G. Sun, and Y. Chen. 2012. Multi-level cell STT-RAM: Is it realistic or just a dream?. In 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 526--532.

Cited By

View all
  • (2022)Optimizing data placement and size configuration for morphable NVM based SPM in embedded multicore systemsFuture Generation Computer Systems10.1016/j.future.2022.05.005135(270-282)Online publication date: Oct-2022

Index Terms

  1. Reinforcing the energy efficiency of cyber-physical systems via direct and split cache consolidation on MLC STT-RAM

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        SAC '20: Proceedings of the 35th Annual ACM Symposium on Applied Computing
        March 2020
        2348 pages
        ISBN:9781450368667
        DOI:10.1145/3341105
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Sponsors

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 30 March 2020

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. MLC STT-RAM
        2. STT-RAM
        3. cell split mapping
        4. cyber-physical systems
        5. direct mapping

        Qualifiers

        • Research-article

        Funding Sources

        Conference

        SAC '20
        Sponsor:
        SAC '20: The 35th ACM/SIGAPP Symposium on Applied Computing
        March 30 - April 3, 2020
        Brno, Czech Republic

        Acceptance Rates

        Overall Acceptance Rate 1,650 of 6,669 submissions, 25%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)11
        • Downloads (Last 6 weeks)7
        Reflects downloads up to 15 Oct 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2022)Optimizing data placement and size configuration for morphable NVM based SPM in embedded multicore systemsFuture Generation Computer Systems10.1016/j.future.2022.05.005135(270-282)Online publication date: Oct-2022

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media