Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/337292.337394acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article
Free access

Multiple Si layer ICs: motivation, performance analysis, and design implications

Published: 01 June 2000 Publication History
  • Get Citation Alerts
  • Abstract

    Continuous scaling of VLSI circuits is reducing gate delays but rapidly increasing interconnect delays. Semiconductor Industry Association (SIA) roadmap predicts that, beyond the 130 nm technology node, performance improvement of advanced VLSI is likely to begin to saturate unless a paradigm shift from present IC architecture is introduced. This paper presents a comprehensive analytical treatment of ICs with multiple Si layers (3-D ICs). It is shown that significant improvement in performance (more than 145%) and reduction in wire-limited chip area can be achieved with 3-D ICs with vertical inter-layer interconnects (VILICs). This analysis is based on dividing a chip into separate blocks, each occupying a separate physical level. A scheme to optimize interconnect distribution among different interconnect tiers is presented and the effect of transferring the repeaters to upper Si layers has been quantified in this analysis. Furthermore, thermal analysis of ICs with two Si layers is presented. It is demonstrated that using a thermally responsible design and/or a high-performance heat sinking technology, die temperatures for ICs with two Si layers can be reduced well below present die temperatures. Finally, implications of 3-D architecture on several circuit designs are also discussed.

    References

    [1]
    The National Technology Roadmap for Semiconductors, Technology Needs, 1997.
    [2]
    C. R. Barrett, "Microprocessor evolution and technology impact," Symp. VLSI Technol., Digest, 1993, pp. 7-10.
    [3]
    C. Hu, "MOSFET scaling in the next decade and beyond," Semiconductor International, pp. 105-114, 1994.
    [4]
    B. Davari, R. H. Dennard, and G. G. Shahidi, "CMOS scaling for high performance and low power-The next ten years," Proc. of the IEEE, vol. 83, no. 4, pp. 595-606, 1995.
    [5]
    G. A. Sai-Halasz, "Performance trends in high-end processors," Proc. of the IEEE, vol. 83, no. 1, pp. 20-36, 1995.
    [6]
    M. T. Bohr, "Interconnect scaling-the real limiter to high performance ULSI," IEDM Tech. Dig., 1995, pp. 241-244.
    [7]
    J. D. Meindl, "Low power microelectronics: retrospect and prospect," Proc. of the IEEE, vol. 83, no. 4, pp. 619-635, 1995.
    [8]
    S-Y Oh and K-J Chang, "2001 needs for multi-level interconnect technology," Circuits and Devices, pp. 16-21, 1995.
    [9]
    M. T. Bohr and Y. A. E1-Mansy, "Technology for advanced high-performance microprocessors," IEEE Trans. Electron Devices, vo145, no. 3, pp. 620-625, 1998.
    [10]
    D. Edelstein et al., "Full copper wiring in a sub-0.25 gm CMOS ULSI technology," IEDM Tech. Dig., 1997, pp. 773-776.
    [11]
    S. Venkatesan et al., "A high performance 1.8V, 0.20 gm CMOS technology with copper metallization," IEDM Tech. Dig., 1997, pp. 769-772.
    [12]
    E. M. Zielinski et al., "Damascene integration of copper and ultra-low-k xerogel for high performance interconnects," IEDM Tech. Dig., 1997, pp. 936-938.
    [13]
    N. Rohrer et al., "A 480MHz RISC microprocessor in a 0.12 gm Leff CMOS technology with copper interconnects," Int. Solid-State Circuits Conf., Tech. Digest, 1998, pp. 240-241.
    [14]
    B. Zhao et al., " A Cu/low-k dual damascene interconnect for high performance and low cost integrated circuits," Symp. VLSI Technology, Tech. Digest, 1998, pp. 28-29.
    [15]
    W. J. Dally, "Interconnect-limited VLSI architecture," Int. Interconnect Technology Conf. Proceedings, 1999, pp. 15-17.
    [16]
    M. W. Geis, D. C. Flanders, D. A. Antoniadis, and H. I. Smith, "Crystalline silicon on insulators by graphoepitaxy," IEDM Tech. Dig., 1979, pp. 210-212.
    [17]
    J. P. Colinge and E. Demoulin, "ST-CMOS (Stacked Transistor CMOS): a doublepoly-NMOS-compatible CMOS technology," IEDM Tech. Dig., 1981, pp. 557- 560.
    [18]
    G. T. Goeloe, E. W. Maby, D. J. Silversmith, R. W. Mountain, and D. A. Antoniadis, "Vertical single-gate CMOS inverters on laser-processed multilayer substrates," IEDM Tech. Dig., 1981, pp. 554-556.
    [19]
    S. Kawamura, N. Sasaki, T. Iwai, M. Nakano, and M. Takagi, "Three-dimensional CMOS IC's fabricated by using beam recrystallization," IEEE Electron Device Lett., vol. EDL-4, no. 10, pp. 366-368, 1983.
    [20]
    S. Akiyama, S. Ogawa, M. Yoneda, N. Yoshii, and Y. Terui, "Multilayer CMOS device fabricated on laser recrystallized silicon islands," IEDM Tech. Dig., 1983, pp. 352-355.
    [21]
    M. Nakano, "3-D SOI/CMOS," IEDM Tech. Dig., 1984, pp. 792-795.
    [22]
    K. Sugahara, T. Nishimura, S. Kusunoki, Y. Akasaka, and H. Nakata, "SOI/SOI/Bulk-Si triple level structure for three-dimensional devices," IEEE Electron Device Lett., vol. EDL-7, no. 3, pp. 193-195, 1986.
    [23]
    Y. Akasaka and T. Nishimura, "Concept and basic technologies for 3-D IC structure," IEDM Tech. Dig., 1986, pp. 488-491.
    [24]
    S. Tatsuno, "Japan's push into creative semiconductor research: 3-dimension IC's," Solid State Technology, March 30, pp. 29-30, 1987.
    [25]
    T. Nishimura, Y. Inoue, K. Sugahara, S. Kusunoki, T. Kumamoto, S. Nakagawa, M. Nakaya, Y. Horiba, and Y. Akasaka, "Three dimensional IC for high performance image signal processor," IEDM Tech. Dig., 1987, pp. 111-114.
    [26]
    T. Kunio, K. Oyama, Y. Hayashi, and M. Morimoto, "Three dimensional ICs, having four stacked active device layers," IEDM Tech. Dig., 1989, pp. 837-840.
    [27]
    S. Strickland, et al., "VLSI design in the 3rd dimension," INTEGRATION, Elsevier Science, pp. 1-16, 1998.
    [28]
    D. Antoniadis, "3-dimensional 25 nm- scale CMOS technology," Advanced Microelectronics Program Review Proceedings Book, Sept. 1-2, Lexington, MA, 1998.
    [29]
    V. Subramanian and K. C. Saraswat, "High-performance germanium-seeded laterally crystallized TFT's for vertical device integration," IEEE Trans. Electron Devices, vol. 45, no. 9, pp. 1934-1939, 1998.
    [30]
    G. W. Neudeck, S. Pae, J. P. Denton, and T. Su, "Multiple layers of silicon-oninsulator for nanostructure devices," J. Vac. Sci. Technol. B 17(3), pp. 994-998, 1999.
    [31]
    K. C. Saraswat, S. J. Souri, V. Subramanian, A. R. Joshi, and A. W. Wang, "Novel 3-D Structures," IEEE Int. SO1 Conf., 1999, pp. 54-55.
    [32]
    S. A. Kuhn, M. B. Kleiner, P. Ramm, and W. Weber, "Performance modeling of the interconnect structure of a three-dimensional integrated RISC processor/cache system," IEEE Trans. Components, Packaging, and Manufacturing Technology- PartB, vol. 19, no. 4, pp. 719-727, 1996.
    [33]
    M. B. Kleiner, S. A. Kuhn, P. Ramm, and W. Weber, "Performance improvement of the memory hierarchy of RISC-systems by application of 3-D technology," IEEE Trans. Components, Packaging, and Manufacturing Technology-Part B, vol. 19, no. 4, pp. 709-718, 1996.
    [34]
    S. J. Souri and K. C. Saraswat, "Interconnect performance modeling for 3D integrated circuits with multiple Si layers," Int. Interconnect Technology Conf. Proceedings, 1999, pp. 24-26.
    [35]
    A. Rahman, A. Fan, J. Chung, and R. Reif, "Wire-length distribution of threedimensional integrated circuits," Int. Interconnect Technology Conf. Proceedings, 1999, pp. 233-235.
    [36]
    R. Zhang, K. Roy, and D. B. Jones, "Architecture and performance of 3- dimensional SOI circuits," IEEE Int. SO1 Conf., 1999, pp. 44-45.
    [37]
    A. Kohno, T. Sameshima, N. Sano, M. Sekiya, and M. Hara, "High performance poly-Si TFTs fabricated using pulsed laser annealing and remote plasma CVD with low temperature processing," IEEE Trans. Electron Devices, vo142, no. 2, pp. 251- 257, 1995.
    [38]
    M. A. Crowder, P. G. Carey, P. M. Smith, R. S. Sposili, H. S. Cho, and J. S. Ira, "Low-temperature single crystal Si TFT's fabricated on Si-films processed via sequential lateral solidification," IEEE Electron Device Lett., vol. 19, no. 8, pp. 306-308, 1986.
    [39]
    H-Y. Lin, C-Y. Chang, T. F. Lei, J-Y. Cheng, H-C. Tseng, and L-P. Chen, "Characterization of polycrystalline silicon thin film transistors fabricated by ultrahigh-vacuum chemical vapor deposition and chemical mechanical polishing," Jpn. J. Appl. Phys., Part 1, vol.36, (no.7A), pp. 4278-4282, July 1997.
    [40]
    T. Noguchi, "Appearance of single-crystalline properties in fine-patterened Si thin film transistors (TFTs) by solid phase crystallization (SPC)," Jpn. J. Appl. Phys., Part 2, no.llA, vol.32, pp. 1584-1587, Nov. 1993.
    [41]
    T. W. Little, H. Koike, K. Takahara, T. Nakazawa, and H. Oshima, "A 9.5-in. 1.3- Mpixel low-temperature poly-Si TFT-LCD fabricated by solid-phase crystallization of very thin films and an ECR-CVD gate insulator," J. Society for Information Display, 1/2, pp. 203-209, 1993.
    [42]
    N. Yamauchi, "Polycrystalline silicon thin films processed with silicon ion implantation and subsequent solid-phase crystallization: theory, experiments, and thin-film transistor applications," J. Appl. Phys., 75(7), pp. 3235-3257, 1994.
    [43]
    D. N. Kouvatsos, A. T. Voutsas, and M. K. Hatalis, "Polycrystalline silicon thin film transistors fabricated in various solid phase crystallized films deposited on glass substrates," J. Electronic Materials, vol. 28, no. 1, pp. 19-25, 1999.
    [44]
    J. A. Tsai, A. J. Tang, T. Noguchi, and R. Reif, "Effects of Ge on material and electrical properties of polycrystalline Sil.xGex for thin film transistors," J. Electrochem. Soc., vol. 142, no. 9, pp. 3220-3225, 1995.
    [45]
    S-W. Lee and S-K. Joo, "Low temperature poly-Si thin film transistor fabrication by metal-induced lateral crystallization," IEEE Electron Device Lett., vol. 17, no. 4, pp. 160-162, 1983.
    [46]
    S. Y. Yoon, S. K. Kim, J. Y. Oh, Y. J. Choi, W. S. Shon, C. O. Kim, and J. Jang, "A high-performance polycrystalline silicon thin-film transistor using metalinduced crystallization with Ni solution," Jpn. J. Appl. Phys., Part 1, pp. 7193- 7197, Dec. 1998.
    [47]
    A. R. Joshi and K. C. Saraswat, "Sub-micron thin film transistors with metal induced lateral crystallization," Abstract no. 1358, Proc. 196th Meeting of the Electrochemical Society, Honolulu, HI, 1999.
    [48]
    J. Nakata and K. Kajiyama, "Novel low-temperature recrystalization of amorphous silicon by high energy beam," Appl. Phys. Lett., pp. 686-688, 1982.
    [49]
    Y. W. Choi, J. N. Lee, T. W. Jang, and B. T. Ahn, "Thin-film transistors fabricated with poly-Si films crystallized at low temperature by microwave annealing," IEEE Electron Device Lett., vol. 20, no. 1, pp. 2-4, 1999.
    [50]
    A. Heya, A. Masuda, and H. Matsumura, "Low-temperature crystallization of amorphous silicon using atomic hydrogen generated by catalytic reaction on heated tungsten," Appl. Phys. Lett., vol. 74, no. 15, pp. 2143-2145, 1999.
    [51]
    R K. Watts and J. T. C. Lee, "Tenth-micron polysilicon thin-film transistors," IEEE Electron Device Lett., vol. 14, no. 11, pp. 515-517, 1993.
    [52]
    M. Rodder and S. Aur, "Utilization of plasma hydrogenation in stacked SRAMs with poly-Si PMOSFETs and bulk Si NMOSFETs," IEEE Electron Device Lett., vol. 12, no. 5, pp. 233-235, 1991.
    [53]
    T. Yamanaka et al., "Advanced TFT SRAM cell technology using a phase-shift lithography," IEEE Trans. Electron Devices, vol. 42, no. 7, pp. 1305-1312, 1995.
    [54]
    M. Cao, T. Zhao, K. C. Saraswat, and J. D. Plummer, "A simple EEPROM cell using twin polysilicon thin film transistor," IEEE Electron Device Lett., vol. 15, no. 8, pp. 304-306, 1994.
    [55]
    P. Ramm et al., "Three dimensional metallization for vertically integrated circuits," Microelectronic Engineering, 37/38 pp. 39-47, 1997.
    [56]
    J. A. Davis, V. K. De, and J. D. Meindl, "A stochastic wire-length distribution for gigascale integration (GSI) - Part II: Applications to clock frequency, power dissipation, and chip size estimation," IEEE Trans. Electron Devices, Vol. 45, no. 3, March 1998.
    [57]
    L. Robinson, L. A. Glasser, and D. A. Antoniadis, "A simple interconnect delay model for multilayer integrated circuits," IEEE VMIC Conf., 1986.
    [58]
    B. S. Landman, and R. L. Russo, "On a pin versus block relationship for partitions of logic graphs," IEEE Trans. Computers, vol. C-20, no. 12, Dec. 1971.
    [59]
    J. A. Davis, V. K. De, and J. D. Meindl, "A stochastic wire-length distribution for gigascale integration (GSI) - Part I: Derivation and validation," IEEE Trans. Electron Devices, Vol. 45, no. 3, March 1998.
    [60]
    S. A. Kuhn, M. B. Kleiner, P. Ramm, and W. Weber, "Thermal analysis of vertically integrated circuits," IEDM Tech. Dig., 1995, pp. 487-490.
    [61]
    K. Banerjee, "Thermal effects in deep submicron VLSI interconnects," Tutorial Notes, IEEE International Symposium on Quality Electronic Design, March 20-22, 2000.
    [62]
    K. E. Goodson and Y. S. Ju, "Heat conduction in novel electronic films," Annu. Rev. Mater. Sci., 29: pp. 261-293, 1999.
    [63]
    K. Banerjee, A. Amerasekera, G. Dixit, and C. Hu, "The effect of interconnect scaling and low-k dielectric on the thermal characteristics of the IC metal," IEDM Tech. Dig., 1996, pp. 65-68.
    [64]
    K. Banerjee, A. Mehrotra, A. Sangiovanni-Vincentelli, and C. Hu, "On thermal effects in deep sub-micron VLSI interconnects," Proc. 36th ACM Design Automation Conference, June 1999, pp. 885-891.
    [65]
    D. B. Tuckerman, R. F. W. Pease, "High-performance heat sinking for VLSI," IEEE Electron Device Lett., vol. EDL-2, no.5, pp. 126-129, 1981.
    [66]
    S. A. Kuhn, M. B. Kleiner, P. Ramm, and W. Weber, "Interconnect capacitances, crosstalk, and signal delay in vertically integrated circuits," IEDM Tech. Dig., 1995, pp. 487-490.
    [67]
    R. H.J.M. Otten and R. K. Brayton, "Planning for performance," Proc. 35th Annual Design Automation Conference, 1998, pp. 122-127.
    [68]
    J. Cong and L. He, "An efficient technique for device and interconnect optimization in deep submicron designs," Int. Symp. on Physical Design, 1998, pp. 45-51.
    [69]
    P. D. Fisher, "Clock cycle estimation for future microprocessor generations," Technical Report, SEMATECH 1997.
    [70]
    D. Greenhill et al, "A 330 MHz 4-way superscalar microprocessor," ISSCC, Digest of Tech. Papers, 1997, pp. 166-167.
    [71]
    B. Razavi, "Challenges and Trends in RF Design," Proc. 9'h Annual IEEE Int. ASIC Conf. and Exhibit, 1996, pp. 81-86.

    Cited By

    View all
    • (2024)Multi-Objective Optimization in 3D FloorplanningElectronics10.3390/electronics1309169613:9(1696)Online publication date: 27-Apr-2024
    • (2023)Power Efficient Image Processing with TMR Tunable Hybrid Approximate Adders2023 IEEE 23rd International Conference on Nanotechnology (NANO)10.1109/NANO58406.2023.10231223(556-561)Online publication date: 2-Jul-2023
    • (2022)Fortune: A New Fault-Tolerance TSV Configuration in Router-Based Redundancy StructureIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.313348441:10(3182-3187)Online publication date: Oct-2022
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '00: Proceedings of the 37th Annual Design Automation Conference
    June 2000
    819 pages
    ISBN:1581131879
    DOI:10.1145/337292
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 June 2000

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Article

    Conference

    DAC00
    Sponsor:
    DAC00: ACM/IEEE-CAS/EDAC Design Automation Conference
    June 5 - 9, 2000
    California, Los Angeles, USA

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)118
    • Downloads (Last 6 weeks)8
    Reflects downloads up to 12 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Multi-Objective Optimization in 3D FloorplanningElectronics10.3390/electronics1309169613:9(1696)Online publication date: 27-Apr-2024
    • (2023)Power Efficient Image Processing with TMR Tunable Hybrid Approximate Adders2023 IEEE 23rd International Conference on Nanotechnology (NANO)10.1109/NANO58406.2023.10231223(556-561)Online publication date: 2-Jul-2023
    • (2022)Fortune: A New Fault-Tolerance TSV Configuration in Router-Based Redundancy StructureIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.313348441:10(3182-3187)Online publication date: Oct-2022
    • (2022)Cellular Structure-Based Fault-Tolerance TSV Configuration in 3D-ICIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.308492041:5(1196-1208)Online publication date: May-2022
    • (2021)Fast Thermal Goodness Evaluation of a 3D-IC Floorplan2021 22nd International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED51717.2021.9424278(367-373)Online publication date: 7-Apr-2021
    • (2021)Spintronic devices: a promising alternative to CMOS devicesJournal of Computational Electronics10.1007/s10825-020-01648-620:2(805-837)Online publication date: 19-Jan-2021
    • (2020)Design and Analysis of LIM Hybrid MTJ/CMOS Logic Gates2020 5th International Conference on Devices, Circuits and Systems (ICDCS)10.1109/ICDCS48716.2020.243544(41-45)Online publication date: Mar-2020
    • (2020)From MTJ Device to Hybrid CMOS/MTJ Circuits: A ReviewIEEE Access10.1109/ACCESS.2020.30330238(194105-194146)Online publication date: 2020
    • (2020)A Novel Low Power and Reduced Transistor Count Magnetic Arithmetic Logic Unit Using Hybrid STT-MTJ/CMOS CircuitIEEE Access10.1109/ACCESS.2019.29637278(6876-6889)Online publication date: 2020
    • (2019)A Survey of Chip-level Thermal SimulatorsACM Computing Surveys10.1145/330954452:2(1-35)Online publication date: 30-Apr-2019
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media