Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3394885.3431568acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article
Open access

A Unified Printed Circuit Board Routing Algorithm With Complicated Constraints and Differential Pairs

Published: 29 January 2021 Publication History

Abstract

The printed circuit board (PCB) routing problem has been studied extensively in recent years. Due to continually growing net/pin counts, extremely high pin density, and unique physical constraints, the manual routing of PCBs has become a time-consuming task to reach design closure. Previous works break down the problem into escape routing and area routing and focus on these problems separately. However, there is always a gap between these two problems requiring a massive amount of human efforts to fine-tune the algorithms back and forth. Besides, previous works of area routing mainly focus on routing between escaping routed ball-grid-array (BGA) packages. Nevertheless, in practice, many components are not in the form of BGA packages, such as passive devices, decoupling capacitors, and through-hole pin arrays. To mitigate the deficiencies of previous works, we propose a full-board routing algorithm that can handle multiple real-world complicated constraints to facilitate the printed circuit board routing and produce high-quality manufacturable layouts. Experimental results show that our algorithm is effective and efficient. Specifically, for all given test cases, our router can achieve 100% routability without any design rule violation while the other two state-of-the-art routers fail to complete the routing for some test cases and incur design rule violations.

References

[1]
Tan Yan and Martin DF Wong. Recent research development in pcb layout. In Proc. of ICCAD, pages 398--403, 2010.
[2]
Tan Yan, Qiang Ma, and Martin DF Wong. Advances in pcb routing. IPSJ Tran. on SLDM, 5:14--22, 2012.
[3]
Christopher T Robertson. Printed circuit board designer's reference: basics. Prentice Hall Professional, 2004.
[4]
Renshen Wang, Rui Shi, and Chung-Kuan Cheng. Layer minimization of escape routing in area array packaging. In Proc. of ICCAD, pages 815--819, 2006.
[5]
Yukiko Kubo and Atsushi Takahashi. Global routing by iterative improvements for two-layer ball grid array packages. IEEE Tran. on CAD, 25(4):725--733, 2006.
[6]
Jia-Wei Fang, I-Jye Lin, Yao-Wen Chang, and Jyh-Herng Wang. A network-flow-based rdl routing algorithmz for flip-chip design. IEEE Tran. on CAD, 26(8):1417--1429, 2007.
[7]
Jia-Wei Fang and Yao-Wen Chang. Area-i/o flip-chip routing for chip-package co-design. In Proc. of ICCAD, pages 518--522, 2008.
[8]
Jia-Wei Fang, Chin-Hsiung Hsu, and Yao-Wen Chang. An integer-linear-programming-based routing algorithm for flip-chip designs. IEEE Tran. on CAD, 28(1):98--110, 2008.
[9]
Jia-Wei Fang, Martin DF Wong, and Yao-Wen Chang. Flip-chip routing with unified area-i/o pad assignments for package-board co-design. In Proc. of DAC, pages 336--339, 2009.
[10]
Tan Yan and Martin DF Wong. Correctly modeling the diagonal capacity in escape routing. IEEE Tran. on CAD, 31(2):285--293, 2012.
[11]
Muhammet Mustafa Ozdal and Martin DF Wong. A length-matching routing algorithm for high-performance printed circuit boards. IEEE Tran. on CAD, 25(12):2784--2794, 2006.
[12]
Muhammet Mustafa Ozdal and Martin DF Wong. Algorithmic study of single-layer bus routing for high-speed boards. IEEE Tran. on CAD, 25(3):490--503, 2006.
[13]
Tan Yan and Martin DF Wong. Bsg-route: A length-constrained routing scheme for general planar topology. IEEE Tran. on CAD, 28(11):1679--1690, 2009.
[14]
Jin-Tai Yan and Zhi-Wei Chen. Obstacle-aware length-matching bus routing. In Proc. of ISPD, pages 61--68, 2011.
[15]
Muhammet Mustafa Ozdal, Martin DF Wong, and Philip S Honsinger. Simultaneous escape-routing algorithms for via minimization of high-speed boards. IEEE Tran. on CAD, 27(1):84--95, 2007.
[16]
Jia-Wei Fang, Kuan-Hsien Ho, and Yao-Wen Chang. Routing for chip-package-board co-design considering differential pairs. In Proc. of ICCAD, pages 512--517, 2008.
[17]
Tan Yan, Pei-Ci Wu, Qiang Ma, and Martin DF Wong. On the escape routing of differential pairs. In Proc. of ICCAD, pages 614--620, 2010.
[18]
Jia-Wei Fang and Yao-Wen Chang. Area-i/o flip-chip routing for chip-package co-design considering signal skews. IEEE Tran. on CAD, 29(5):711--721, 2010.
[19]
Tai-Hung Li, Wan-Chun Chen, Xian-Ting Cai, and Tai-Chen Chen. Escape routing of differential pairs considering length matching. In Proc. of ASP-DAC, pages 139--144, 2012.
[20]
Kan Wang, Sheqin Dong, Huaxi Wang, Qian Chen, and Tao Lin. Mixed-crossing-avoided escape routing of mixed-pattern signals on staggered-pin-array pcbs. IEEE Tran. on CAD, 33(4):571--584, 2014.
[21]
Fengxian Jiao and Sheqin Dong. Ordered escape routing with consideration of differential pair and blockage. ACM Tran. on DAES, 23(4):1--26, 2018.
[22]
Larry McMurchie and Carl Ebeling. Pathfinder: a negotiation-based performance-driven router for fpgas. In Reconfigurable Computing, pages 365--381. 2008.
[23]
Peter E Hart, Nils J Nilsson, and Bertram Raphael. A formal basis for the heuristic determination of minimum cost paths. IEEE Tran. on SSC, 4(2):100--107, 1968.
[24]
Boris Schäling. The boost C++libraries. 2011.
[25]
DeepPCB. https://deeppcb.ai/.
[26]
FreeRouting. https://freerouting.org/.
[27]
KiCad EDA. https://kicad-pcb.org/.

Cited By

View all
  • (2024)MCMCF-Router: Multi-capacity Ordered Escape Routing Algorithms for Grid/Staggered Pin ArrayACM Transactions on Design Automation of Electronic Systems10.1145/3695253Online publication date: 14-Sep-2024
  • (2024)MORE-Router+: Multilayer Multi-capacity ORdered Escape Routing via Bus-oriented Layer Assignment2024 25th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED60706.2024.10528693(1-7)Online publication date: 3-Apr-2024
  • (2023)A Novel Global Routing Algorithm for Printed Circuit Boards Based on Triangular GridElectronics10.3390/electronics1224494212:24(4942)Online publication date: 8-Dec-2023
  • Show More Cited By
  1. A Unified Printed Circuit Board Routing Algorithm With Complicated Constraints and Differential Pairs

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ASPDAC '21: Proceedings of the 26th Asia and South Pacific Design Automation Conference
      January 2021
      930 pages
      ISBN:9781450379991
      DOI:10.1145/3394885
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 29 January 2021

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. physical design
      2. printed circuit board
      3. routing

      Qualifiers

      • Research-article
      • Research
      • Refereed limited

      Conference

      ASPDAC '21
      Sponsor:

      Acceptance Rates

      ASPDAC '21 Paper Acceptance Rate 111 of 368 submissions, 30%;
      Overall Acceptance Rate 466 of 1,454 submissions, 32%

      Upcoming Conference

      ASPDAC '25

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)593
      • Downloads (Last 6 weeks)65
      Reflects downloads up to 03 Oct 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)MCMCF-Router: Multi-capacity Ordered Escape Routing Algorithms for Grid/Staggered Pin ArrayACM Transactions on Design Automation of Electronic Systems10.1145/3695253Online publication date: 14-Sep-2024
      • (2024)MORE-Router+: Multilayer Multi-capacity ORdered Escape Routing via Bus-oriented Layer Assignment2024 25th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED60706.2024.10528693(1-7)Online publication date: 3-Apr-2024
      • (2023)A Novel Global Routing Algorithm for Printed Circuit Boards Based on Triangular GridElectronics10.3390/electronics1224494212:24(4942)Online publication date: 8-Dec-2023
      • (2023)Two-stage PCB Routing Using Polygon-based Dynamic Partitioning and MCTS2023 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE56975.2023.10137062(1-2)Online publication date: Apr-2023
      • (2023)Reshaping System Design in 3D IntegrationProceedings of the 2023 International Symposium on Physical Design10.1145/3569052.3578918(71-77)Online publication date: 26-Mar-2023
      • (2023)TRouter: Thermal-Driven PCB Routing via Nonlocal Crisscross Attention NetworksIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.324354442:10(3388-3401)Online publication date: Oct-2023
      • (2023)Deep Learning based Refinement for Package Substrate Routing2023 IEEE 73rd Electronic Components and Technology Conference (ECTC)10.1109/ECTC51909.2023.00320(1871-1874)Online publication date: May-2023
      • (2023)A Matching Based Escape Routing Algorithm with Variable Design Rules and Constraints2023 60th ACM/IEEE Design Automation Conference (DAC)10.1109/DAC56929.2023.10247781(1-6)Online publication date: 9-Jul-2023
      • (2022)Routing Algorithm for Flexible Printed Circuit Channel AreaJournal of Computer-Aided Design & Computer Graphics10.3724/SP.J.1089.2022.1946534:08(1179-1185)Online publication date: 2-Dec-2022
      • (2022)Via-Based Redistribution Layer Routing for InFO Packages With Irregular Pad StructuresIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.315506941:12(5554-5567)Online publication date: Dec-2022

      View Options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Get Access

      Login options

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media