Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3400302.3415668acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article
Open access

Modeling techniques for logic locking

Published: 17 December 2020 Publication History
  • Get Citation Alerts
  • Abstract

    Logic locking is a method to prevent intellectual property (IP) piracy. However, under a reasonable attack model, SAT-based methods have proven to be powerful in obtaining the secret key. In response, many locking techniques have been developed to specifically resist this form of attack. In this paper, we demonstrate two SAT modeling techniques that can provide many orders of magnitude speed up in discovering the correct key. Specifically, we consider relaxed encodings and symmetry breaking. To demonstrate their impact, we model and attack a state-of-the-art logic locking technique, Full-Lock. We show that circuits previously unbreakable within 15 days of run time can be solved in seconds. Consequently, in assessing the strength of any given locking, it is imperative that these modeling techniques be considered. To remedy this vulnerability in the considered locking technique, we demonstrate an extended version, logic-enhanced Banyan locking, that is resistant to our proposed modeling techniques.

    References

    [1]
    Fadi A. Aloul, Igor L. Markov, and Karem A. Sakallah. 2003. Shatter: Efficient Symmetry-Breaking for Boolean Satisfiability. In Proceedings of the 40th Annual Design Automation Conference (Anaheim, CA, USA) (DAC '03). Association for Computing Machinery, New York, NY, USA, 836--839.
    [2]
    Roberto J Bayardo Jr and Robert Schrag. 1997. Using CSP look-back techniques to solve real-world SAT instances. In Aaai/iaai. Providence, RI, 203--208.
    [3]
    Armin Biere. 2018. Cadical, Lingeling, Plingeling, Treengeling and YalSAT entering the sat competition 2018. In Proceedings of SAT Competition 2018. 13--14.
    [4]
    Armin Biere, Alessandro Cimatti, Edmund M. Clarke, and Yunshan Zhu. 1999. Symbolic Model Checking without BDDs. In TACAS '99: Proceedings of the 5th International Conference on Tools and Algorithms for Construction and Analysis of Systems. Springer-Verlag, London, UK, 193--207.
    [5]
    Armin Biere, Marijn Heule, and Hans van Maaren. 2009. Handbook of satisfiability. Vol. 185. IOS press.
    [6]
    D. Brand. 1993. Verification of large synthesized designs. In Proceedings of 1993 International Conference on Computer Aided Design (ICCAD). 534--537.
    [7]
    James Crawford, Matthew Ginsberg, Eugene Luks, and Amitabha Roy. 1996. Symmetry-breaking predicates for search problems. KR 96 (1996), 148--159.
    [8]
    Jian Ding, Allan Sly, and Nike Sun. 2015. Proof of the satisfiability conjecture for large k. In Proceedings of the forty-seventh annual ACM symposium on Theory of computing. 59--68.
    [9]
    Ujjwal Guin, Ziqi Zhou, and Adit Singh. 2017. A novel design-for-security (DFS) architecture to prevent unauthorized IC overproduction. In Proceedings of the IEEE VLSI Test Symposium.
    [10]
    Alexey Ignatiev, Antonio Morgado, and Joao Marques-Silva. 2018. PySAT: A Python Toolkit for Prototyping with SAT Oracles. In SAT. 428--437.
    [11]
    Franjo Ivančić, Zijiang Yang, Malay K. Ganai, Aarti Gupta, and Pranav Ashar. 2008. Efficient SAT-based bounded model checking for software verification. Theoretical Computer Science 404, 3 (2008), 256 -- 274. International Symposium on Leveraging Applications of Formal Methods (ISoLA 2004).
    [12]
    F. Koushanfar J. A. Roy and I. L. Markov. 2008. EPIC: Ending Piracy of Integrated Circuits. 2008 Design, Automation and Test in Europe (2008). https://doi.org/10.1109
    [13]
    Hadi Mardani Kamali, Kimia Zamiri Azar, Houman Homayoun, and Avesta Sasan. 2019. Full-Lock. Association for Computing Machinery (ACM), 1--6.
    [14]
    Boris Konev and Alexei Lisitsa. 2014. A SAT Attack on the Erdős Discrepancy Conjecture. In Theory and Applications of Satisfiability Testing - SAT 2014, Carsten Sinz and Uwe Egly (Eds.). Springer International Publishing, Cham, 219--226.
    [15]
    Meng Li, Kaveh Shamsi, Yier Jin, and David Z. Pan. 2019. TimingSAT: Decamouflaging Timing-based Logic Obfuscation. In Proceedings - International Test Conference, Vol. 2018-October. Institute of Electrical and Electronics Engineers Inc.
    [16]
    João P Marques-Silva and Karem A Sakallah. 1999. GRASP: A search algorithm for propositional satisfiability. IEEE Trans. Comput. 48, 5 (1999), 506--521.
    [17]
    Jeyavijayan Rajendran, Huan Zhang, Chi Zhang, Garrett S. Rose, Youngok Pino, Ozgur Sinanoglu, and Ramesh Karri. 2015. Fault Analysis-Based Logic Encryption. IEEE Trans. Comput. 64, 2 (2015), 410--424.
    [18]
    Kaveh Shamsi, Meng Li, David Z Pan, and Yier Jin. 2018. Cross-Lock: Dense Layout-Level Interconnect Locking using Cross-bar Architectures. (2018).
    [19]
    Kaveh Shamsi, David Z Pan, and Yier Jin. 2019. IcySAT: Improved SAT-based Attacks on Cyclic Locked Circuits. In 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 1--7.
    [20]
    Marc Stevens, Elie Bursztein, Pierre Karpman, Ange Albertini, and Yarik Markov. 2017. The First Collision for Full SHA-1. In Advances in Cryptology - CRYPTO 2017, Jonathan Katz and Hovav Shacham (Eds.). Springer International Publishing, Cham, 570--596.
    [21]
    Pramod Subramanyan, Sayak Ray, and Sharad Malik. 2015. Evaluating the security of logic encryption algorithms. Proceedings of the 2015 IEEE International Symposium on Hardware-Oriented Security and Trust, HOST 2015 (2015), 137--143.
    [22]
    Joseph Sweeney, Marijn J. H. Heule, and Lawrence Pileggi. 2020. Sensitivity Analysis of Locked Circuits. In LPAR23. LPAR-23: 23rd International Conference on Logic for Programming, Artificial Intelligence and Reasoning (EPiC Series in Computing, Vol. 73), Elvira Albert and Laura Kovacs (Eds.). EasyChair, 483--497.
    [23]
    Grigori S Tseitin. 1983. On the complexity of derivation in propositional calculus. In Automation of reasoning. Springer, 466--483.
    [24]
    Yang Xie and Ankur Srivastava. 2019. Anti-SAT: Mitigating SAT Attack on Logic Locking. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 38, 2 (2 2019), 199--207.
    [25]
    Muhammad Yasin, Bodhisatwa Mazumdar, Jeyavijayan J V Rajendran, and Ozgur Sinanoglu. 2016. SARLock: SAT attack resistant logic locking. Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, HOST 2016 (2016), 236--241.
    [26]
    M Yasin, B Mazumdar, and O Sinanoglu. 2017. Security analysis of anti-sat. (Asp-Dac), 2017 .. (2017), 342--347. http://ieeexplore.ieee.org/abstract/document/7858346/
    [27]
    M. Yasin, J. J. Rajendran, O. Sinanoglu, and R. Karri. 2016. On Improving the Security of Logic Locking. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35, 9 (2016), 1411--1424.
    [28]
    Muhammad Yasin, Abhrajit Sengupta, Benjamin Carrion Schafer, Yiorgos Makris, Ozgur Sinanoglu, and Jeyavijayan Rajendran. [n.d.]. What to Lock? Functional and Parametric Locking. ([n. d.]).
    [29]
    Muhammad Yasin, Abhrajit Sengupta, Mohammed dari Nabeel, Mohammed Ashraf, Jeyavijayan Rajendran, and Ozgur Sinanoglu. [n.d.]. Provably-Secure Logic Locking: From Theory To Practice. Technical Report.
    [30]
    Cunxi Yu, Xiangyu Zhang, Duo Liu, Maciej Ciesielski, and Daniel Holcomb. 2017. Incremental SAT-Based Reverse Engineering of Camouflaged Logic Circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 36, 10 (2017), 1647--1659.
    [31]
    G. L. Zhang, B. Li, B. Yu, D. Z. Pan, and U. Schlichtmann. 2018. TimingCamouflage: Improving circuit security against counterfeiting by unconventional timing. In 2018 Design, Automation Test in Europe Conference Exhibition (DATE). 91--96.
    [32]
    Hai Zhou, Ruifeng Jiang, and Shuyu Kong. 2017. CycSAT: SAT-based attack on cyclic logic encryptions. In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD.

    Cited By

    View all
    • (2024)Removal of SAT-Hard Instances in Logic Obfuscation Through Inference of FunctionalityACM Transactions on Design Automation of Electronic Systems10.1145/367490329:4(1-23)Online publication date: 25-Jun-2024
    • (2024)Quantifying the Efficacy of Logic Locking Methods2024 37th International Conference on VLSI Design and 2024 23rd International Conference on Embedded Systems (VLSID)10.1109/VLSID60093.2024.00096(541-546)Online publication date: 6-Jan-2024
    • (2024)Advances in Logic LockingHardware Security10.1007/978-3-031-58687-3_2(53-142)Online publication date: 3-Apr-2024
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '20: Proceedings of the 39th International Conference on Computer-Aided Design
    November 2020
    1396 pages
    ISBN:9781450380263
    DOI:10.1145/3400302
    • General Chair:
    • Yuan Xie
    This work is licensed under a Creative Commons Attribution International 4.0 License.

    Sponsors

    In-Cooperation

    • IEEE CAS
    • IEEE CEDA
    • IEEE CS

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 17 December 2020

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. IP piracy
    2. logic locking
    3. miter-based SAT attack
    4. satisfiability

    Qualifiers

    • Research-article

    Funding Sources

    Conference

    ICCAD '20
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Upcoming Conference

    ICCAD '24
    IEEE/ACM International Conference on Computer-Aided Design
    October 27 - 31, 2024
    New York , NY , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)155
    • Downloads (Last 6 weeks)24
    Reflects downloads up to 26 Jul 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Removal of SAT-Hard Instances in Logic Obfuscation Through Inference of FunctionalityACM Transactions on Design Automation of Electronic Systems10.1145/367490329:4(1-23)Online publication date: 25-Jun-2024
    • (2024)Quantifying the Efficacy of Logic Locking Methods2024 37th International Conference on VLSI Design and 2024 23rd International Conference on Embedded Systems (VLSID)10.1109/VLSID60093.2024.00096(541-546)Online publication date: 6-Jan-2024
    • (2024)Advances in Logic LockingHardware Security10.1007/978-3-031-58687-3_2(53-142)Online publication date: 3-Apr-2024
    • (2023)SheLL: Shrinking eFPGA Fabrics for Logic Locking2023 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE56975.2023.10137211(1-6)Online publication date: Apr-2023
    • (2023) VIGILANT : Vulnerability Detection Tool Against Fault-Injection Attacks for Locking Techniques IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.325930042:11(3571-3584)Online publication date: Nov-2023
    • (2023)Complexity Analysis of the SAT Attack on Logic LockingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.324093342:10(3143-3156)Online publication date: Oct-2023
    • (2023)ReTrustFSM: Toward RTL Hardware Obfuscation-A Hybrid FSM ApproachIEEE Access10.1109/ACCESS.2023.324490211(19741-19761)Online publication date: 2023
    • (2023)Post-satisfiability Era: Countermeasures and ThreatsUnderstanding Logic Locking10.1007/978-3-031-37989-5_8(155-212)Online publication date: 23-Sep-2023
    • (2023)Logic Locking in Future IC Supply Chain EnvironmentsUnderstanding Logic Locking10.1007/978-3-031-37989-5_12(309-333)Online publication date: 23-Sep-2023
    • (2022)SKG-Lock+: A Provably Secure Logic Locking SchemeCreating Significant Output CorruptionElectronics10.3390/electronics1123390611:23(3906)Online publication date: 25-Nov-2022
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media