Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/344166.344522acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article
Free access

Optimization of high-performance superscalar architectures for energy efficiency

Published: 01 August 2000 Publication History

Abstract

In recent years reducing power has become a critical design goal for high-performance microprocessors. This work attempts to bring the power issue to the earliest phase of high-performance microprocessor development. We propose a methodology for power-optimization at the micro-architectural level. First, major targets for power reduction are identified within superscalar microarchitecture, then an optimization of a superscalar micro-architecture is performed that generates a set of energy-efficient configurations forming a convex hull in the power-performance space. The energy-efficient families are then compared to find configurations that dissipate the lowest power given a performance target, or, conversely, deliver the highest performance given a power budget. Application of the developed methodology to a superscalar micro-architecture shows that at the architectural level there is a potential for reducing power up to 50%, given a performance requirement, and for up to 15% performance improvement, given a power budget.

References

[1]
D. Burger and T. Austin. The SimpleScalar tool set, version 2.0. Technical Report 1342, University of Wisconsin- Madison, Computer Science Department, 1997.
[2]
R. Colwell et al. A VLIW architecture for a trace scheduling compiler. IEEE Transactions on Computers, 37(8):967-979, August 1988.
[3]
K. Farkas. Memory-System Design Considerations for Dynamically-Scheduled Microprocessors. PhD thesis, University of Toronto, 1997.
[4]
James Farrell and Timothy Fischer. Issue logic for a 600- MHz out-of-order execution microprocessor. IEEE Journal of Solid-State Circuits, 33(5), May 1998.
[5]
M. Franklin. The Multiscalar Architecture. PhD thesis, University of Wisconsin-Madison, November 1993.
[6]
R. Gonzalez and M. Horowitz. Energy dissipation in general purpose microprocessors. IEEE Journal of Solid-State Circuits, 31(9):1277-1283, September 1996.
[7]
R. Kessler. The Alpha 21264 microprocessor. IEEE Micro, 19(2):24-36, March 1999.
[8]
A. Kumar. The HP PA8000 RISC CPU. IEEE Micro, 17(27- 32), April 1997.
[9]
J. Nelder and R. Mead. A simplex method for function minimization. Computer Journal, 7(4):308-313, 1965.
[10]
S. Palacharla, N. Jouppi, and J. Smith. Complexity-effective superscalar processor. Proceedings of the 24th Annual International Symposium on Computer Architecture, pages 206- 218, June 1997.
[11]
J. Smith and G. Sohi. The microarchiteture of superscalar processors. Proceedings of the IEEE, December 1995.
[12]
M. Tremblay, D. Greenley, and K. Normoyle. The design of the microarchitecture of UltraSPARC-I TM. Proceedings of the IEEE, pages 16531-1663, December 1995.
[13]
S. Vajapeyam and T. Miltra. Improving superscalar instruction dispatch and issue by exploiting dynamic code sequences. Proceedings of 24th Annual International Symposium on Computer Architecture, June 1997.
[14]
N. Vasseghi, K. Yeager, et al. 200-MHz superscalar RISC microprocessor. IEEE Journal of Solid-State Circuits, 31 (11): 1675-1685, November 1996.
[15]
V. Zyuban. Inherently Lower-Power High-Performance Superscalar Architectures. PhD thesis, University of Notre Dame, January 2000.
[16]
V. Zyuban and P. Kogge. The energy complexity of register files. IEEE Symposium on Low Power Electronics and Design, pages 305-310, August 1998.

Cited By

View all

Index Terms

  1. Optimization of high-performance superscalar architectures for energy efficiency

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISLPED '00: Proceedings of the 2000 international symposium on Low power electronics and design
      August 2000
      313 pages
      ISBN:1581131909
      DOI:10.1145/344166
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 01 August 2000

      Permissions

      Request permissions for this article.

      Check for updates

      Qualifiers

      • Article

      Conference

      ISLPED00
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 398 of 1,159 submissions, 34%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)205
      • Downloads (Last 6 weeks)46
      Reflects downloads up to 25 Jan 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2018)The superfluous load queueProceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2018.00017(95-107)Online publication date: 20-Oct-2018
      • (2016)DiversityIEEE Computer Architecture Letters10.1109/LCA.2015.249973915:2(81-84)Online publication date: 1-Jul-2016
      • (2015)Four Metrics to Evaluate Heterogeneous MulticoresACM Transactions on Architecture and Code Optimization10.1145/282995012:4(1-25)Online publication date: 16-Nov-2015
      • (2012)Improving coherence protocol reactiveness by trading bandwidth for latencyProceedings of the 9th conference on Computing Frontiers10.1145/2212908.2212929(143-152)Online publication date: 15-May-2012
      • (2009)Architecture level design space exploration of superscalar processor for multimedia applicationsProceedings of the 12th international conference on Symposium on Performance Evaluation of Computer & Telecommunication Systems10.5555/1688291.1688296(21-26)Online publication date: 13-Jul-2009
      • (2009)Power–Performance Trade-Offs in Design of SoCsLow-Power Processors and Systems on Chips10.1201/9781420037203.pt2(10-1-10-15)Online publication date: 4-Dec-2009
      • (2009)Energy and performance evaluation of lossless file data compression on server systemsProceedings of SYSTOR 2009: The Israeli Experimental Systems Conference10.1145/1534530.1534536(1-12)Online publication date: 4-May-2009
      • (2009)Chapter 3 Models and Metrics for Energy‐Efficient ComputingComputer Performance Issues10.1016/S0065-2458(08)00803-6(159-233)Online publication date: 2009
      • (2008)Computer Architecture Techniques for Power-EfficiencySynthesis Lectures on Computer Architecture10.2200/S00119ED1V01Y200805CAC0043:1(1-207)Online publication date: Jan-2008
      • (2008)Strategies for mapping dataflow blocks to distributed hardwareProceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2008.4771776(23-34)Online publication date: 8-Nov-2008
      • Show More Cited By

      View Options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Login options

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media