Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Robust and Attack Resilient Logic Locking with a High Application-Level Impact

Published: 11 May 2021 Publication History

Abstract

Logic locking is a hardware security technique aimed at protecting intellectual property against security threats in the IC supply chain, especially those posed by untrusted fabrication facilities. Such techniques incorporate additional locking circuitry within an integrated circuit (IC) that induces incorrect digital functionality when an incorrect verification key is provided by a user. The amount of error induced by an incorrect key is known as the effectiveness of the locking technique. A family of attacks known as “SAT attacks” provide a strong mathematical formulation to find the correct key of locked circuits. To achieve high SAT resilience (i.e., complexity of SAT attacks), many conventional logic locking schemes fail to inject sufficient error into the circuit when the key is incorrect. For example, in the case of SARLock and Anti-SAT, there are usually very few (or only one) input minterms that cause any error at the circuit output. The state-of-the-art stripped functionality logic locking (SFLL) technique provides a wide spectrum of configurations that introduced a tradeoff between SAT resilience and effectiveness. In this work, we prove that such a tradeoff is universal among all logic locking techniques. To attain high effectiveness of locking without compromising SAT resilience, we propose a novel logic locking scheme, called Strong Anti-SAT (SAS). In addition to SAT attacks, removal-based attacks are another popular kind of attack formulation against logic locking where the attacker tries to identify and remove the locking structure. Based on SAS, we also propose Robust SAS (RSAS) that is resilient to removal attacks and maintains the same SAT resilience and effectiveness as SAS. SAS and RSAS have the following significant improvements over existing techniques. (1) We prove that the SAT resilience of SAS and RSAS against SAT attack is not compromised by increase in effectiveness. (2) In contrast to prior work that focused solely on the circuit-level locking impact, we integrate SAS-locked modules into an 80386 processor and show that SAS has a high application-level impact. (3) Our experiments show that SAS and RSAS exhibit better SAT resilience than SFLL and their effectiveness is similar to SFLL.

References

[1]
Abdulrahman Alaql, Domenic Forte, and Swarup Bhunia. 2019. Sweep to the secret: A constant propagation attack on logic locking. In Proceedings of the 2019 Asian Hardware Oriented Security and Trust Symposium (AsianHOST’19). IEEE, 1–6.
[2]
Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, and Kai Li. 2008. The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques. ACM, 72–81.
[3]
Nathan Binkert, Bradford Beckmann, Gabriel Black, Steven K. Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness, Derek R Hower, Tushar Krishna, Somayeh Sardashti, et al. 2011. The gem5 simulator. ACM SIGARCH Comput. Arch. News 39, 2 (2011), 1–7.
[4]
Abhishek Chakraborty, Nithyashankari Gummidipoondi Jayasankaran, Yuntao Liu, Jeyavijayan Rajendran, Ozgur Sinanoglu, Ankur Srivastava, Yang Xie, Muhammad Yasin, and Michael Zuzak. 2020. Keynote: A disquisition on logic locking. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 39, 10 (Oct. 2020), 1952–1972.
[5]
Abhishek Chakraborty, Yang Xie, and Ankur Srivastava. 2018. GPU obfuscation: Attack and defense strategies. In Proceedings of the 55th Annual Design Automation Conference. ACM, 122.
[6]
Prabuddha Chakraborty, Jonathan Cruz, and Swarup Bhunia. 2018. SAIL: Machine learning guided structural analysis attack on hardware obfuscation. In Proceedings of the 2018 Asian Hardware Oriented Security and Trust Symposium (AsianHOST’18). IEEE, 56–61.
[7]
Prabuddha Chakraborty, Jonathan Cruz, and Swarup Bhunia. 2019. SURF: Joint structural functional attack on logic locking. In Proceedings of the 2019 IEEE International Symposium on Hardware Oriented Security and Trust (HOST’19). IEEE Computer Society, 181–190.
[8]
J. Deng, A. Berg, S. Satheesh, H. Su, A. Khosla, and L. Fei-Fei. 2012. ILSVRC-2012, 2012. Retrieved from http://www. image-net. org/challenges/LSVRC.
[9]
Hadi Mardani Kamali, Kimia Zamiri Azar, Houman Homayoun, and Avesta Sasan. 2019. Full-lock: Hard distributions of SAT instances for obfuscating circuits using fully configurable logic and routing blocks. In Proceedings of the 56th Annual Design Automation Conference 2019. ACM, 89.
[10]
Rajit Karmakar and Santanu Chattopadhyay. 2020. On securing scan obfuscation strategies against ScanSAT attack. In Proceedings of the 2020 21st International Symposium on Quality Electronic Design (ISQED’20). IEEE, 213–218.
[11]
Alex Krizhevsky and Geoffrey Hinton. 2012. Learning multiple layers of features from tiny images. Advances in Neural Information Processing Systems 25 (2012), 1097--1105.
[12]
Yann LeCun, Léon Bottou, Yoshua Bengio, and Patrick Haffner. 1998. Gradient-based learning applied to document recognition. Proc. IEEE 86, 11 (1998), 2278–2324.
[13]
Yuntao Liu, Michael Zuzak, Yang Xie, Abhishek Chakraborty, and Ankur Srivastava. 2020. Strong anti-SAT: Secure and effective logic locking. In Proceedings of the 21st International Symposium on Quality Electronic Design. IEEE, 199–205.
[14]
Mohamed El Massad, Jun Zhang, Siddharth Garg, and Mahesh V. Tripunitara. 2017. Logic locking for secure outsourced chip fabrication: A new attack and provably secure defense mechanism. arXiv:1703.10187. Retrieved from https://arxiv.org/abs/1703.10187.
[15]
Yuval Netzer, Tao Wang, Adam Coates, Alessandro Bissacco, Bo Wu, and Andrew Y. Ng. 2011. Reading digits in natural images with unsupervised feature learning. In Proceedings of the NIPS Workshop on Deep Learning and Unsupervised Feature Learning, Vol. 2011. 5.
[16]
Xuan Thuy Ngo, Jean-Luc Danger, Sylvain Guilley, Tarik Graba, Yves Mathieu, Zakaria Najm, and Shivam Bhasin. 2017. Cryptographically secure shield for security IPs protection. IEEE Trans. Comput. 66, 2 (2017), 354–360.
[17]
M.-E. Nilsback and A. Zisserman. 2008. Automated flower classification over a large number of classes. In Proceedings of the Indian Conference on Computer Vision, Graphics and Image Processing.
[18]
Stephen M. Plaza and Igor L. Markov. 2015. Solving the third-shift problem in IC piracy with test-aware logic locking. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 34, 6 (2015), 961–971.
[19]
M. Sazadur Rahman, Adib Nahiyan, Sarah Amir, Fahim Rahman, Farimah Farahmandi, Domenic Forte, and Mark Tehranipoor. 2019. Dynamically obfuscated scan chain to resist oracle-guided attacks on logic locked design. IACR Cryptol. ePrint Arch. 2019 (2019), 946.
[20]
Jeyavijayan Rajendran, Youngok Pino, Ozgur Sinanoglu, and Ramesh Karri. 2012. Security analysis of logic obfuscation. In Proceedings of the 49th Annual Design Automation Conference. ACM, 83–89.
[21]
Jeyavijayan Rajendran, Huan Zhang, Chi Zhang, Garrett S. Rose, Youngok Pino, Ozgur Sinanoglu, and Ramesh Karri. 2015. Fault analysis-based logic encryption. IEEE Trans. Comput. 64, 2 (2015), 410–424.
[22]
Jarrod A. Roy, Farinaz Koushanfar, and Igor L. Markov. 2008. EPIC: Ending piracy of integrated circuits. In Proceedings of the Conference on Design, Automation and Test in Europe. ACM, 1069–1074.
[23]
Abhrajit Sengupta, Mohammed Nabeel, Nimisha Limaye, Mohammed Ashraf, and Ozgur Sinanoglu. 2020. Truly stripping functionality for logic locking: A fault-based perspective. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. (2020).
[24]
Kaveh Shamsi, Meng Li, Travis Meade, Zheng Zhao, David Z. Pan, and Yier Jin. 2017. AppSAT: Approximately deobfuscating integrated circuits. In Proceedings of the 2017 IEEE International Symposium on Hardware Oriented Security and Trust (HOST’17). IEEE, 95–100.
[25]
Yuanqi Shen and Hai Zhou. 2017. Double dip: Re-evaluating security of logic encryption algorithms. In Proceedings of the Great Lakes Symposium on VLSI. ACM, 179–184.
[26]
Deepak Sirone and Pramod Subramanyan. 2020. Functional analysis attacks on logic locking. IEEE Trans. Inf. Forens. Secur. 15 (2020), 2514–2527.
[27]
Dominik Šišejkovic, Farhad Merchant, Rainer Leupers, Gerd Ascheid, and Sascha Kegreiss. 2019. Inter-lock: Logic encryption for processor cores beyond module boundaries. In Proceedings of the 2019 IEEE European Test Symposium (ETS’19). IEEE, 1–6.
[28]
Dominik Šišejković, Farhad Merchant, Lennart M. Reimann, Rainer Leupers, Massimiliano Giacometti, and Sascha Kegreiß. 2020. A secure hardware-software solution based on RISC-V, logic locking and microkernel. In Proceedings of the 23th International Workshop on Software and Compilers for Embedded Systems. 62–65.
[29]
Dominik Šišejković, Farhad Merchant, Lennart M. Reimann, Rainer Leupers, and Sascha Kegreiß. 2020. Scaling logic locking schemes to multi-module hardware designs. In Proceedings of the International Conference on Architecture of Computing Systems. Springer, 138–152.
[30]
Pramod Subramanyan, Sayak Ray, and Sharad Malik. 2015. Evaluating the security of logic encryption algorithms. In Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust (HOST’15). IEEE, 137–143.
[31]
Joseph Sweeney, Marijn Heule, and Lawrence T Pileggi. 2020. Sensitivity analysis of locked circuits. In Proceedings of the 23rd International Conference on Logic for Programming, Artificial Intelligence and Reasoning. 483–497.
[32]
Yang Xie and Ankur Srivastava. 2018. Anti-SAT: Mitigating SAT attack on logic locking. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. (2018).
[33]
Muhammad Yasin, Bodhisatwa Mazumdar, Jeyavijayan J. V. Rajendran, and Ozgur Sinanoglu. 2016. SARLock: SAT attack resistant logic locking. In Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust (HOST’16). IEEE, 236–241.
[34]
Muhammad Yasin, Bodhisatwa Mazumdar, Jeyavijayan J. V. Rajendran, and Ozgur Sinanoglu. 2017. TTLock: Tenacious and traceless logic locking. In Proceedings of the 2017 IEEE International Symposium on Hardware Oriented Security and Trust (HOST’17). IEEE, 166–166.
[35]
Muhammad Yasin, Bodhisatwa Mazumdar, Ozgur Sinanoglu, and Jeyavijayan Rajendran. 2017. Removal attacks on logic locking and camouflaging techniques. IEEE Trans. Emerg. Top. Comput. (2017).
[36]
Muhammad Yasin, Jeyavijayan J. V. Rajendran, Ozgur Sinanoglu, and Ramesh Karri. 2016. On improving the security of logic locking. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35, 9 (2016), 1411–1424.
[37]
Muhammad Yasin, Abhrajit Sengupta, Mohammed Thari Nabeel, Mohammed Ashraf, Jeyavijayan J. V. Rajendran, and Ozgur Sinanoglu. 2017. Provably-secure logic locking: From theory to practice. In Proceedings of the 2017 ACM SIGSAC Conference on Computer and Communications Security. ACM, 1601–1618.
[38]
Dongrong Zhang, Miao He, Xiaoxiao Wang, and Mark Tehranipoor. 2017. Dynamically obfuscated scan for protecting IPs against scan-based attacks throughout supply chain. In Proceedings of the 2017 IEEE 35th VLSI Test Symposium (VTS’17). IEEE, 1–6.
[39]
M. Zuzak and A. Srivastava. 2019. Memory locking: An automated approach to processor design obfuscation. In Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI’19). 541–546.

Cited By

View all
  • (2024)Quantifiable Assurance in HardwareHardware Security10.1007/978-3-031-58687-3_1(1-52)Online publication date: 3-Apr-2024
  • (2023)Double-Layer Search and Adaptive Pooling Fusion for Reference-Based Image Super-ResolutionACM Transactions on Multimedia Computing, Communications, and Applications10.1145/360493720:1(1-23)Online publication date: 25-Aug-2023
  • (2023)Image Quality Assessment–driven Reinforcement Learning for Mixed Distorted Image RestorationACM Transactions on Multimedia Computing, Communications, and Applications10.1145/353262519:1s(1-23)Online publication date: 3-Feb-2023
  • Show More Cited By

Index Terms

  1. Robust and Attack Resilient Logic Locking with a High Application-Level Impact

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Journal on Emerging Technologies in Computing Systems
    ACM Journal on Emerging Technologies in Computing Systems  Volume 17, Issue 3
    July 2021
    483 pages
    ISSN:1550-4832
    EISSN:1550-4840
    DOI:10.1145/3464978
    • Editor:
    • Ramesh Karri
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 11 May 2021
    Accepted: 01 December 2020
    Revised: 01 October 2020
    Received: 01 June 2020
    Published in JETC Volume 17, Issue 3

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Logic locking
    2. SAT attack
    3. machine learning

    Qualifiers

    • Research-article
    • Refereed

    Funding Sources

    • AFOSR MURI
    • Northrop Grumman Corporation
    • University of Maryland Seedling Grant

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)38
    • Downloads (Last 6 weeks)4
    Reflects downloads up to 03 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Quantifiable Assurance in HardwareHardware Security10.1007/978-3-031-58687-3_1(1-52)Online publication date: 3-Apr-2024
    • (2023)Double-Layer Search and Adaptive Pooling Fusion for Reference-Based Image Super-ResolutionACM Transactions on Multimedia Computing, Communications, and Applications10.1145/360493720:1(1-23)Online publication date: 25-Aug-2023
    • (2023)Image Quality Assessment–driven Reinforcement Learning for Mixed Distorted Image RestorationACM Transactions on Multimedia Computing, Communications, and Applications10.1145/353262519:1s(1-23)Online publication date: 3-Feb-2023
    • (2023)Weakly Supervised Text-based Actor-Action Video Segmentation by Clip-level Multi-instance LearningACM Transactions on Multimedia Computing, Communications, and Applications10.1145/351425019:1(1-22)Online publication date: 5-Jan-2023
    • (2023)Security-Aware Resource Binding to Enhance Logic ObfuscationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.329445342:12(4528-4540)Online publication date: 11-Jul-2023
    • (2023)Joint Protection Scheme for Deep Neural Network Hardware Accelerators and ModelsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.328289742:12(4518-4527)Online publication date: 5-Jun-2023
    • (2023)Algorithmic Obfuscation for LDPC DecodersIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.317505142:2(371-383)Online publication date: Feb-2023
    • (2023)Low Power Logic Obfuscation Through System Level Clock Gating2023 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)10.1109/ISLPED58423.2023.10244561(1-6)Online publication date: 7-Aug-2023
    • (2023)Deep learningCAAI Transactions on Intelligence Technology10.1049/cit2.121808:3(581-606)Online publication date: 23-Feb-2023
    • (2023)Metrics for SoC Security VerificationCAD for Hardware Security10.1007/978-3-031-26896-0_3(37-79)Online publication date: 28-Jan-2023
    • Show More Cited By

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    HTML Format

    View this article in HTML Format.

    HTML Format

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media