Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Open access

System-level Early-stage Modeling and Evaluation of IVR-assisted Processor Power Delivery System

Published: 03 September 2021 Publication History

Abstract

Despite being employed in numerous efforts to improve power delivery efficiency, the integrated voltage regulator (IVR) approach has yet to be evaluated rigorously and quantitatively in a full power delivery system (PDS) setting. To fulfill this need, we present a system-level modeling and design space exploration framework called Ivory for IVR-assisted power delivery systems. Using a novel modeling methodology, it can accurately estimate power delivery efficiency, static performance characteristics, and dynamic transient responses under different load variations and external voltage/frequency scaling conditions. We validate the model over a wide range of IVR topologies with silicon measurement and SPICE simulation. Finally, we present two case studies using architecture-level performance and power simulators. The first case study focuses on optimal PDS design for multi-core systems, which achieves 8.6% power efficiency improvement over conventional off-chip voltage regulator module– (VRM) based PDS. The second case study explores the design tradeoffs for IVR-assisted PDSs in CPU and GPU systems with fast per-core dynamic voltage and frequency scaling (DVFS). We find 2 μs to be the optimal DVFS timescale, which not only reaps energy benefits (12.5% improvement in CPU and 50.0% improvement in GPU) but also avoids costly IVR overheads.

References

[1]
Siamak Abedinpour, Bertan Bakkaloglu, and Sayfe Kiaei. 2007. A multistage interleaved synchronous buck converter with integrated output filter in 0.18 m SiGe process. IEEE Trans. Power Electr. 22, 6 (2007), 2164–2175.
[2]
Mohammad Al-Shyoukh, Hoi Lee, and Raul Perez. 2007. A transient-enhanced low-quiescent current low-dropout regulator with buffer impedance attenuation. IEEE J. Solid-state Circ. 42, 8 (2007), 1732–1742.
[3]
Toke Meyer Andersen, Florian Krismer, Johann Walter Kolar, Thomas Toifl, Christian Menolfi, Lukas Kull, Thomas Morf, Marcel Kossel, Matthias Brändli, Peter Buchmann, et al. 2014. 4.7 A sub-ns response on-chip switched-capacitor DC-DC voltage regulator delivering 3.7 W/mm 2 at 90% efficiency using deep-trench capacitors in 32nm SOI CMOS. In Proceedings of the IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC’14). IEEE, 90–91.
[4]
David Baba. 2012. Benefits of a Multiphase Buck Converter. Texas Instruments Incorporated.
[5]
Rassul Bairamkulov, Kan Xu, Mikhail Popovich, Juan S. Ochoa, Vaishnav Srinivas, and Eby G. Friedman. 2019. Power delivery exploration methodology based on constrained optimization. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 39, 9 (2019) 1916–1924.
[6]
Ali Bakhoda, George L. Yuan, Wilson W. L. Fung, Henry Wong, and Tor M. Aamodt. 2009. Analyzing CUDA workloads using a detailed GPU simulator. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software. IEEE, 163–174.
[7]
Lakshmi Bhamidipati, Bhoopal Gunna, Houman Homayoun, and Avesta Sasan. 2017. A power delivery network and cell placement aware IR-drop mitigation technique: Harvesting unused timing slacks to schedule useful skews. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI’17). IEEE, 272–277.
[8]
Edward A. Burton, Gerhard Schrom, Fabrice Paillet, Jonathan Douglas, William J. Lambert, Kaladhar Radhakrishnan, and Michael J. Hill. 2014. FIVR—Fully integrated voltage regulators on 4th generation Intel®Core™SoCs. In Proceedings of the 29th Annual IEEE Applied Power Electronics Conference and Exposition (APEC’14). IEEE.
[9]
Trevor E. Carlson, Wim Heirmant, and Lieven Eeckhout. 2011. Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation. In Proceedings of the 2011 International Conference for High Performance Computing, Networking, Storage and Analysis (SC’11). IEEE, 1–12.
[10]
Leland Chang, Robert K. Montoye, Brian L. Ji, Alan J. Weger, Kevin G. Stawiasz, and Robert H. Dennard. 2010. A fully-integrated switched-capacitor 2: 1 voltage converter with regulation capability and 90% efficiency at 2.3 A/mm 2. In Proceedings of the 2010 IEEE Symposium on VLSI Circuits (VLSIC’10). IEEE, 55–56.
[11]
Shuai Che, Michael Boyer, Jiayuan Meng, David Tarjan, Jeremy W. Sheaffer, Sang-Ha Lee, and Kevin Skadron. 2009. Rodinia: A benchmark suite for heterogeneous computing. In Proceedings of the 2009 IEEE International Symposium on Workload Characterization (IISWC’09). IEEE, 44–54.
[12]
CHL8266. 2011. Digital Multi-Phase GPU Buck Controller. Technical Report. infineon.
[13]
Yongseok Choi, Naehyuck Chang, and Taewhan Kim. 2007. DC–DC converter-aware power management for low-power embedded systems. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 26, 8 (2007), 1367–1381.
[14]
Hadi Esmaeilzadeh, Emily Blem, Renee St Amant, Karthikeyan Sankaralingam, and Doug Burger. 2011. Dark silicon and the end of multicore scaling. In Proceedings of the 2011 38th Annual International Symposium on Computer Architecture (ISCA’11). IEEE, 365–376.
[15]
Eric J. Fluhr, Steve Baumgartner, David Boerstler, John F. Bulzacchelli, Timothy Diemoz, Daniel Dreps, George English, Joshua Friedrich, Anne Gattiker, Tilman Gloekler, et al. 2015. The 12-Core POWER8 processor with 7.6 Tb/s IO bandwidth, integrated voltage regulation, and resonant clocking. IEEE J. Solid-State Circ. 50, 1 (2015), 10–23.
[16]
Donald S. Gardner, Gerhard Schrom, Fabrice Paillet, Brice Jamieson, Tanay Karnik, and Shekhar Borkar. 2009. Review of on-chip inductor structures with magnetic films. IEEE Trans. Magn. 45, 10 (2009), 4760–4766.
[17]
Hamid Reza Ghasemi, Abhishek A. Sinkar, Michael J. Schulte, and Nam Sung Kim. 2012. Cost-effective power delivery to support per-core voltage domains for power-constrained processors. In Proceedings of the 49th ACM/EDAC/IEEE Design Automation Conference (DAC’12). IEEE, 56–61.
[18]
Dimitris Gizopoulos, George Papadimitriou, Athanasios Chatzidimitriou, Vijay Janapa Reddi, Behzad Salami, Osman S. Unsal, Adrian Cristal Kestelman, and Jingwen Leng. 2019. Modern hardware margins: CPUs, GPUs, FPGAs recent system-level studies. In Proceedings of the 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS’19). IEEE, 129–134.
[19]
Juliana Gjanci and Masud H. Chowdhury. 2010. A hybrid scheme for on-chip voltage regulation in system-on-a-chip (SOC). IEEE Trans. VLSI Syst. 19, 11 (2010), 1949–1959.
[20]
Meeta S. Gupta, Jarod L. Oatley, Russ Joseph, Gu-Yeon Wei, and David M. Brooks. 2007. Understanding voltage variations in chip multiprocessors using a distributed power-delivery network. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition. IEEE, 1–6.
[21]
Edward N. Y. Ho and Philip K. T. Mok. 2010. A capacitor-less CMOS active feedback low-dropout regulator with slew-rate enhancement for portable on-chip application. IEEE Trans. Circ. Syst. II: Expr. Briefs 57, 2 (2010), 80–84.
[22]
MD Shazzad Hossain and Ioannis Savidis. 2020. Recycling of unused leakage current for energy efficient multi-voltage systems. Microelectronics J. 101 (2020), 104782.
[23]
Rinkle Jain, Bibiche M. Geuskens, Stephen T. Kim, Muhammad M. Khellah, Jaydeep Kulkarni, James W. Tschanz, and Vivek De. 2014. A 0.45–1 V fully-integrated distributed switched capacitor DC-DC converter with high density MIM capacitor in 22 nm tri-gate CMOS. IEEE J. Solid-State Circ. 49, 4 (2014), 917–927.
[24]
Ulya R. Karpuzcu, Abhishek Sinkar, Nam Sung Kim, and Josep Torrellas. 2013. Energysmart: Toward energy-efficient manycores for near-threshold computing. In Proceedings of the 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA’13). IEEE, 542–553.
[25]
Ben Keller, Martin Cochet, Brian Zimmer, Jaehwa Kwak, Alberto Puggelli, Yunsup Lee, Milovan Blagojević, Stevo Bailey, Pi-Feng Chiu, Palmer Dabbelt, et al. 2017. A RISC-V processor SoC with integrated power management at submicrosecond timescales in 28 nm FD-SOI. IEEE J. Solid-State Circ. 52, 7 (2017), 1863–1875.
[26]
Mahmoud Khairy, Zhesheng Shen, Tor M. Aamodt, and Timothy G. Rogers. 2020. Accel-Sim: An extensible simulation framework for validated GPU modeling. In Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA’20). IEEE, 473–486.
[27]
Stephen T. Kim, Yi-Chun Shih, Kaushik Mazumdar, Rinkle Jain, Joseph F. Ryan, Carlos Tokunaga, Charles Augustine, Jaydeep P. Kulkarni, Krishnan Ravichandran, James W. Tschanz, et al. 2015. Enabling wide autonomous DVFS in a 22 nm graphics execution core using a digitally controlled fully integrated voltage regulator. IEEE J. Solid-State Circ. 51, 1 (2015), 18–30.
[28]
Wonyoung Kim, David Brooks, and Gu-Yeon Wei. 2012. A fully-integrated 3-level DC-DC converter for nanosecond-scale DVFS. IEEE J. Solid-State Circ. 47, 1 (2012), 206–219.
[29]
Wonyoung Kim, Meeta S. Gupta, Gu-Yeon Wei, and David Brooks. 2008. System level analysis of fast, per-core DVFS using on-chip switching regulators. In Proceedings of the IEEE 14th International Symposium on High Performance Computer Architecture. IEEE, 123–134.
[30]
Youngtaek Kim and Lizy Kurian John. 2011. Automated di/dt stressmark generation for microprocessor power delivery networks. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED’11). IEEE, 253–258.
[31]
Selçuk Kose and Eby G. Friedman. 2012. Distributed on-chip power delivery. IEEE J. Emerg. Select. Top. Circ. Syst. 2, 4 (2012), 704–713.
[32]
Harish K. Krishnamurthy, Vaibhav Vaidya, Pavan Kumar, Rinkle Jain, Sheldon Weng, Stephen T. Kim, George E. Matthew, Nachiket Desai, Xiaosen Liu, Krishnan Ravichandran, et al. 2017. A digitally controlled fully integrated voltage regulator with on-die solenoid inductor with planar magnetic core in 14-nm tri-gate CMOS. IEEE J. Solid-State Circ. 53, 1 (2017), 8–19.
[33]
Pavan Kumar, Vaibhav A. Vaidya, Harish Krishnamurthy, Stephen Kim, George E. Matthew, Sheldon Weng, Bharani Thiruvengadam, Wayne Proefrock, Krishnan Ravichandran, and Vivek De. 2015. A 0.4 V 1V 0.2 A/mm 2 70% efficient 500MHz fully integrated digitally controlled 3-level buck voltage regulator with on-die high density MIM capacitor in 22nm tri-gate CMOS. In Proceedings of the IEEE Custom Integrated Circuits Conference (CICC’15). IEEE, 1–4.
[34]
Sebastian Kutzner, Axel Poschmann, and Marc Stöttinger. 2013. TROJANUS: An ultra-lightweight side-channel leakage generator for FPGAs. In Proceedings of the International Conference on Field-Programmable Technology (FPT’13). IEEE, 160–167.
[35]
Hanh-Phuc Le, John Crossley, Seth R. Sanders, and Elad Alon. 2013. A sub-ns response fully integrated battery-connected switched-capacitor voltage regulator delivering 0.19 W/mm 2 at 73% efficiency. In Proceedings of the IEEE International Solid-State Circuits Conference Digest of Technical Papers. IEEE, 372–373.
[36]
Hanh-Phuc Le, Seth R. Sanders, and Elad Alon. 2011. Design techniques for fully integrated switched-capacitor DC-DC converters. IEEE J. Solid-State Circ. 46, 9 (2011), 2120–2131.
[37]
Jingwen Leng, Alper Buyuktosunoglu, Ramon Bertran, Pradip Bose, and Vijay Janapa Reddi. 2015. Safe limits on voltage reduction efficiency in GPUs: A direct measurement approach. In Proceedings of the 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’15). IEEE, 294–307.
[38]
Jingwen Leng, Tayler Hetherington, Ahmed ElTantawy, Syed Gilani, Nam Sung Kim, Tor M. Aamodt, and Vijay Janapa Reddi. 2013. GPUWattch: Enabling energy optimizations in GPGPUs. In ACM SIGARCH Computer Architecture News, Vol. 41. ACM, 487–498.
[39]
Jingwen Leng, Yazhou Zu, and Vijay Janapa Reddi. 2014. Energy efficiency benefits of reducing the voltage guardband on the kepler GPU architecture. In Proceedings of the Workshop on Silicon Errors in Logic-System Effects (SELSE’14). IEEE, 77–88.
[40]
Jingwen Leng, Yazhou Zu, Minsoo Rhu, Meeta Gupta, and Vijay Janapa Reddi. 2014. GPUVolt: Modeling and characterizing voltage noise in GPU architectures. In Proceedings of the 2014 International Symposium on Low Power Electronics and Design. ACM, 141–146.
[41]
Jules Mace. 2020. Design and Control of Topologies for Voltage Stacking. Ph.D. Dissertation.
[42]
Ashis Maity, Amit Patra, Norihisa Yamamura, and Jonathan Knight. 2011. Design of a 20 MHz DC-DC buck converter with 84 percent efficiency for portable applications. In Proceedings of the 24th Internatioal Conference on VLSI Design. IEEE, 316–321.
[43]
Xinxin Mei, Xiaowen Chu, Hai Liu, Yiu-Wing Leung, and Zongpeng Li. 2017. Energy efficient real-time task scheduling on CPU-GPU hybrid clusters. In Proceedings of the IEEE Conference on Computer Communications (INFOCOM-17). IEEE, 1–9.
[44]
Xinxin Mei, Qiang Wang, and Xiaowen Chu. 2017. A survey and measurement study of GPU DVFS on energy conservation. Dig. Commun. Netw. 3, 2 (2017), 89–100.
[45]
Pascal Meinerzhagen, Carlos Tokunaga, Andres Malavasi, Vaibhav Vaidya, Ashwin Mendon, Deepak Mathaikutty, Jaydeep Kulkarni, Charles Augustine, Minki Cho, Stephen Kim, et al. 2018. An energy-efficient graphics processor featuring fine-grain DVFS with integrated voltage regulators, execution-unit turbo, and retentive sleep in 14nm tri-gate CMOS. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC’18). IEEE, 38–40.
[46]
Richard D. Middlebrook and Slobodan Cuk. 1976. A general unified approach to modelling switching-converter power stages. In Proceedings of the IEEE Power Electronics Specialists Conference. IEEE, 18–34.
[47]
Robert J. Milliken, Jose Silva-Martínez, and Edgar Sánchez-Sinencio. 2007. Full on-chip CMOS low-dropout voltage regulator. IEEE Trans. Circ. Syst. I: Regul. Pap. 54, 9 (2007), 1879–1890.
[48]
Lukas Müller and Jonathan W. Kimball. 2014. Effects of stray inductance on hard-switched switched capacitor converters. IEEE Trans. Power Electr. 29, 12 (2014), 6276–6280.
[49]
Yerzhan Mustafa, Vivekanandan Subburaj, and Alex Ruderman. 2019. Revisited SCC equivalent resistance high frequency limit accounting for stray inductance effect. IEEE J. Emerg. Select. Top. Power Electr. (2019).
[50]
James Myers, Anand Savanth, Rohan Gaddh, David Howard, Pranay Prabhat, and David Flynn. 2015. A subthreshold ARM cortex-M0+ subsystem in 65 nm CMOS for WSN applications with 14 power domains, 10T SRAM, and integrated voltage regulator. IEEE J. Solid-State Circ. 51, 1 (2015), 31–44.
[51]
Chi-Hsien Pao, An-Yu Su, and Yu-Min Lee. 2020. XGBIR: An xgboost-based IR drop predictor for power delivery network. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE’20). IEEE, 1307–1310.
[52]
George Papadimitriou, Athanasios Chatzidimitriou, Dimitris Gizopoulos, Vijay Janapa Reddi, Jingwen Leng, Behzad Salami, Osman Sabri Unsal, and Adrian Cristal Kestelman. 2020. Exceeding conservative limits: A consolidated analysis on modern hardware margins. IEEE Trans. Device Mater. Reliabil. (2020).
[53]
George Patounakis, Yee William Li, and Kenneth L. Shepard. 2004. A fully integrated on-chip DC-DC conversion and power management system. IEEE J. Solid-State Circ. 39, 3 (2004), 443–451.
[54]
Gerard Villar Piqué. 2012. A 41-phase switched-capacitor power converter with 3.8 mV output ripple and 81% efficiency in baseline 90nm CMOS. In Proceedings of the 2012 IEEE International Solid-State Circuits Conference. IEEE, 98–100.
[55]
V. J. Reddi S. Kanev, S. Campanoni, M. D. Smith, G. Y. Wei, and D. Brooks.2010. Voltage smoothing: Characterizing and mitigating voltage noise in production processors using software-guided thread scheduling. In Proceedings of the Annual IEEE/ACM International Symposium on Microarchitecture.
[56]
Gerhard Schrom, P. Hazucha, Fabrice Paillet, D. J. Rennie, S. T. Moon, D. S. Gardner, T. Kamik, P. Sun, T. T. Nguyen, M. J. Hill, et al. 2007. A 100MHz eight-phase buck converter delivering 12A in 25mm2 using air-core inductors. In Proceedings of the 22nd Annual IEEE Applied Power Electronics Conference and Exposition (APEC’07). IEEE, 727–730.
[57]
Michael D. Seeman. 2006. Analytical and Practical Analysis of Switched-capacitor dc-dc Converters. Technical Report. Department of Electrical Engineering and Computer Science, University of California–Berkeley.
[58]
Kamlesh Singh, Barry de Bruin, Jos Huisken, Hailong Jiao, and José Pineda de Gyvez. 2019. Voltage stacked design of a microcontroller for near/sub-threshold operation. In Proceedings of the 2019 32nd IEEE International System-on-Chip Conference (SOCC’19). IEEE, 370–375.
[59]
Saurabh Sinha, Greg Yeric, Vikas Chandra, Brian Cline, and Yu Cao. 2012. Exploring sub-20nm FinFET design with predictive technology models. In Proceedings of the 2012 49th ACM/EDAC/IEEE Design Automation Conference (DAC’12). IEEE, 283–288.
[60]
Noah Sturcken, Eugene J. O’Sullivan, Naigang Wang, Philipp Herget, Bucknell C. Webb, Lubomyr T. Romankiw, Michele Petracca, Ryan Davies, Robert E. Fontana, Gary M. Decad, et al. 2013. A 2.5 D integrated voltage regulator using coupled-magnetic-core inductors on silicon interposer. IEEE J. Solid-state Circ. 48, 1 (2013), 244–254.
[61]
Noah Sturcken, Eugene J. O’Sullivan, Naigang Wang, Philipp Herget, Bucknell C. Webb, Lubomyr T. Romankiw, Michele Petracca, Ryan Davies, Robert E. Fontana, Gary M. Decad, et al. 2012. A 2.5 D integrated voltage regulator using coupled-magnetic-core inductors on silicon interposer. IEEE J. Solid-state Circ. 48, 1 (2012), 244–254.
[62]
Noah Sturcken, Michele Petracca, Steven Warren, Paolo Mantovani, Luca P. Carloni, Angel V. Peterchev, and Kenneth L. Shepard. 2012. A switched-inductor integrated voltage regulator with nonlinear feedback and network-on-chip load in 45 nm SOI. IEEE J. Solid-State Circ. 47, 8 (2012), 1935–1945.
[63]
Nghia Tang, Wookpyo Hong, Bai Nguyen, Zhiyuan Zhou, Jong-Hoon Kim, and Deukhyoun Heo. 2020. Fully integrated switched-inductor-capacitor voltage regulator with 0.82-A/mm peak current density and 78% peak power efficiency. IEEE J. Solid-State Circ. 56, 6 (2020), 1805–1815.
[64]
Texas Instruments. [n.d.]. LMZ10501 1-A Simple Switcher Nano Module with 5.5-V Maximum Input Voltage. Retreived from http://www.ti.com/product/LMZ10501.
[65]
Renji Thomas, Naser Sedaghati, and Radu Teodorescu. 2016. EmerGPU: Understanding and mitigating resonance-induced voltage noise in GPU architectures. In Proceedings of the 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS’16). IEEE, 79–89.
[66]
Tao Tong, Xuan Zhang, Wonyoung Kim, David Brooks, and Gu-Yeon Wei. 2013. A fully integrated battery-connected switched-capacitor 4: 1 voltage regulator with 70% peak efficiency using bottom-plate charge recycling. In Proceedings of the IEEE 2013 Custom Integrated Circuits Conference. IEEE, 1–4.
[67]
Riccardo Trinchero. 2015. EMI Analysis and Modeling of Switching Circuits. Ph.D. Dissertation. Politecnico di Torino.
[68]
Akihiro Tsukioka, Karthik Srinivasan, Shan Wan, Lang Lin, Ying-Shiun Li, Norman Chang, and Makoto Nagata. 2019. A fast side-channel leakage simulation technique based on IC chip power modeling. IEEE Lett. Electromagn. Compatibil. Pract. Appl. 1, 4 (2019), 83–87.
[69]
Inna Vaisband and Eby G. Friedman. 2012. Heterogeneous methodology for energy efficient distribution of on-chip power supplies. IEEE Trans. Power Electr. 28, 9 (2012), 4267–4280.
[70]
Tom Van Breussegem and Michiel Steyaert. 2009. A 82% efficiency 0.5% ripple 16-phase fully integrated capacitive voltage doubler. In Proceedings of the 2009 Symposium on VLSI Circuits. IEEE, 198–199.
[71]
Hang-Sheng Wang, Xinping Zhu, Li-Shiuan Peh, and Sharad Malik. 2002. Orion: A power-performance simulator for interconnection networks. In Proceedings of the 35th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-35). IEEE, 294–305.
[72]
Xuan Wang, Jiang Xu, Zhe Wang, Kevin J. Chen, Xiaowen Wu, Zhehui Wang, Peng Yang, and Luan H. K. Duong. 2015. An analytical study of power delivery systems for many-core processors using on-chip and off-chip voltage regulators. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 34, 9 (2015), 1401–1414.
[73]
Steven J. E. Wilton and Norman P. Jouppi. 1996. CACTI: An enhanced cache access and cycle time model. IEEE J. Solid-State Circ. 31, 5 (1996), 677–688.
[74]
Kan Xu and Eby G. Friedman. 2020. Challenges in high current on-chip voltage stacked systems. In Proceedings of the 2020 IEEE International Symposium on Circuits and Systems (ISCAS’20). IEEE, 1–5.
[75]
Kan Xu, Ravi Patel, Praveen Raghavan, and Eby G. Friedman. 2018. Exploratory design of on-chip power delivery for 14, 10, and 7 nm and beyond FinFET ICs. Integration 61 (2018), 11–19.
[76]
Guihai Yan, Yingmin Li, Yinhe Han, Xiaowei Li, Minyi Guo, and Xiaoyao Liang. 2012. AgileRegulator: A hybrid voltage regulator scheme redeeming dark silicon for power efficiency in a multicore architecture. In Proceedings of the IEEE 18th International Symposium on High Performance Computer Architecture (HPCA’12). IEEE, 1–12.
[77]
Yuanmao Ye and Ka Wai Eric Cheng. 2016. Analysis and optimization of switched capacitor power conversion circuits with parasitic resistances and inductances. IEEE Trans. Power Electr. 32, 3 (2016), 2018–2028.
[78]
Zhiyu Zeng, Suming Lai, and Peng Li. 2013. IC power delivery: Voltage regulation and conversion, system-level cooptimization and technology implications. ACM Trans. Des. Autom. Electr. Syst. 18, 2 (2013), 1–21.
[79]
Zhiyu Zeng, Xiaoji Ye, Zhuo Feng, and Peng Li. 2010. Tradeoff analysis and optimization of power delivery networks with on-chip voltage regulation. In Proceedings of the 47th Design Automation Conference. ACM, 831–836.
[80]
Xin Zhan, Jianhao Chen, Edgar Sánchez-Sinencio, and Peng Li. 2019. Power management for multicore processors via heterogeneous voltage regulation and machine learning enabled adaptation. IEEE Trans. VLSI Syst. 27, 11 (2019), 2641–2654.
[81]
Runjie Zhang. 2015. Pre-RTL On-Chip Power Delivery Modeling and Analysis. Ph.D. Dissertation. University of Virginia.
[82]
Pingqiang Zhou, Dong Jiao, Chris H. Kim, and Sachin S. Sapatnekar. 2011. Exploration of on-chip switched-capacitor DC-DC converter for multicore processors using a distributed power delivery network. In Proceedings of the IEEE Custom Integrated Circuits Conference (CICC’11). IEEE, 1–4.
[83]
Huifeng Zhu, Xiaolong Guo, Yier Jin, and Xuan Zhang. 2020. PowerScout: A security-oriented power delivery network modeling framework for cross-domain side-channel analysis. In Proceedings of the Asian Hardware Oriented Security and Trust Symposium (AsianHOST’20). IEEE, 1–6.
[84]
Cheng Zhuo, Kassan Unda, Yiyu Shi, and Wei-Kai Shih. 2018. From layout to system: Early stage power delivery and architecture co-exploration. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 38, 7 (2018), 1291–1304.
[85]
Brian Zimmer, Yunsup Lee, Alberto Puggelli, Jaehwa Kwak, Ruzica Jevtić, Ben Keller, Steven Bailey, Milovan Blagojević, Pi-Feng Chiu, Hanh-Phuc Le, et al. 2016. A RISC-V vector processor with simultaneous-switching switched-capacitor DC–DC converters in 28 nm FDSOI. IEEE J. Solid-State Circ. 51, 4 (2016), 930–942.
[86]
An Zou, Jingwen Leng, Xin He, Yazhou Zu, Christopher D. Gill, Vijay Janapa Reddi, and Xuan Zhang. 2018. Voltage-stacked gpus: A control theory driven cross-layer solution for practical voltage stacking in gpus. In Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’18). IEEE, 390–402.
[87]
An Zou, Jingwen Leng, Xin He, Yazhou Zu, Christopher D. Gill, Vijay Janapa Reddi, and Xuan Zhang. 2020. Voltage-stacked power delivery systems: Reliability, efficiency, and power management. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 39, 12 (2020), 5142–5155.
[88]
An Zou, Jingwen Leng, Xin He, Yazhou Zu, Vijay Janapa Reddi, and Xuan Zhang. 2018. Efficient and reliable power delivery in voltage-stacked manycore system with hybrid charge-recycling regulators. In Proceedings of the 55th ACM/ESDA/IEEE Design Automation Conference (DAC’18). IEEE, 1–6.
[89]
An Zou, Jingwen Leng, Yazhou Zu, Tao Tong, Vijay Janapa Reddi, David Brooks, Gu-Yeon Wei, and Xuan Zhang. 2017. Ivory: Early-stage design space exploration tool for integrated voltage regulators. In Proceedings of the 54th Annual Design Automation Conference 2017. 1–6.
[90]
Yazhou Zu, Charles R. Lefurgy, Jingwen Leng, Matthew Halpern, Michael S. Floyd, and Vijay Janapa Reddi. 2015. Adaptive guardband scheduling to improve system-level efficiency of the POWER7+. In Proceedings of the International Symposium on Microarchitecture (MICRO’15). IEEE, 308–321.

Index Terms

  1. System-level Early-stage Modeling and Evaluation of IVR-assisted Processor Power Delivery System

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Architecture and Code Optimization
    ACM Transactions on Architecture and Code Optimization  Volume 18, Issue 4
    December 2021
    497 pages
    ISSN:1544-3566
    EISSN:1544-3973
    DOI:10.1145/3476575
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 03 September 2021
    Accepted: 01 May 2021
    Revised: 01 April 2021
    Received: 01 December 2020
    Published in TACO Volume 18, Issue 4

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • 0
      Total Citations
    • 1,210
      Total Downloads
    • Downloads (Last 12 months)359
    • Downloads (Last 6 weeks)43
    Reflects downloads up to 18 Aug 2024

    Other Metrics

    Citations

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    HTML Format

    View this article in HTML Format.

    HTML Format

    Get Access

    Login options

    Full Access

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media