Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3489517.3530429acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article
Open access

PANORAMA: divide-and-conquer approach for mapping complex loop kernels on CGRA

Published: 23 August 2022 Publication History

Abstract

CGRAs are well-suited as hardware accelerators due to power efficiency and reconfigurability. However, their potential is limited by the inability of the compiler to map complex loop kernels onto the architectures effectively. We propose PANORAMA, a fast and scalable compiler based on a divide-and-conquer approach to generate quality mapping for complex dataflow graphs (DFG) representing loop bodies onto larger CGRAs. PANORAMA improves the throughput of the mapped loops by up to 2.6x with 8.7x faster compilation time compared to the state-of-the-art techniques.

References

[1]
L. Liu et al., "A survey of coarse-grained reconfigurable architecture and design: Taxonomy, challenges, and applications," in CSUR'19
[2]
S. Friedman et al., "SPR: an architecture-adaptive CGRA mapping tool," in FPGA'09
[3]
J. Lee and T. E. Carlson, "Ultra-Fast CGRA scheduling to enable run time, programmable CGRAs," in DAC'21
[4]
M. Karunaratne et al., "HyCUBE: A CGRA with reconfigurable single-cycle multi-hop interconnect," in DAC'17
[5]
L. Chen and T. Mitra, "Graph minor approach for application mapping on CGRAs," in TRETS'14
[6]
B. Mei et al., "DRESC: A retargetable compiler for coarse-grained reconfigurable architectures," in FPT'02
[7]
S. A. Chin et al., "CGRA-ME: A unified framework for CGRA modelling and exploration," in ASAP'17
[8]
M. Hamzeh et al., "EPIMap: Using epimorphism to map applications on CGRAs," in DAC'12
[9]
H. Park et al., "Edge-centric modulo scheduling for coarse-grained reconfigurable architectures," in PACT'08
[10]
Z. Li et al., "LISA: Graph neural network based portable mapping on spatial accelerators," in HPCA'22
[11]
J. W. Yoon et al., "A graph drawing based spatial mapping algorithm for coarse-grained reconfigurable architectures," in VLSI'09
[12]
T. K. Bandara et al., "REVAMP: a systematic framework for heterogeneous CGRA realization," in ASPLOS'22
[13]
Z. Li et al., "Chordmap: Automated mapping of streaming applications onto CGRA," in TCAD'21
[14]
G. Di Battista et al., "A split & push approach to 3D orthogonal drawing," in Graph Algorithms And Applications 2'04
[15]
U. Von Luxburg, "A tutorial on spectral clustering," in Statistics and computing'07
[16]
D. Wijerathne et al., "Himap: Fast and scalable high-quality mapping on CGRA via hierarchical abstraction," in DATE'21
[17]
L. Buitinck et al., "API design for machine learning software: experiences from the scikit-learn project," in arXiv'13
[18]
Gurobi optimizer reference manual, https://www.gurobi.com
[19]
C. Lattner and V. Adve, "LLVM: A compilation framework for lifelong program analysis & transformation," in CGO'04
[20]
C. Lee et al., "Mediabench: A tool for evaluating and synthesizing multimedia and communications systems," in MICRO'97
[21]
Embench: A modern embedded benchmark suite, https://www.embench.org/
[22]
B Ramakrishna Rau, "Iterative Modulo Scheduling: An algorithm for software pipelining loops," in MICRO'94
[23]
S. Kirkpatrick et al., "Optimization by simulated annealing," in Science'83
[24]
L. McMurchie and C. Ebeling, "Pathfinder: A negotiation-based performance-driven router for FPGAs," in Reconfigurable Computing'08
[25]
A. Marquardt et al., "Using cluster-based logic blocks and timing-driven packing to improve FPGA speed and density," in FPGA'99

Cited By

View all
  • (2024)HierCGRA: A Novel Framework for Large-scale CGRA with Hierarchical Modeling and Automated Design Space ExplorationACM Transactions on Reconfigurable Technology and Systems10.1145/365617617:2(1-31)Online publication date: 10-May-2024
  • (2024)FDRA: A Framework for a Dynamically Reconfigurable Accelerator Supporting Multi-Level ParallelismACM Transactions on Reconfigurable Technology and Systems10.1145/361422417:1(1-26)Online publication date: 27-Jan-2024
  • (2024)A 420 GOPS/W CGRA with a Configurable MAC and Dynamic Truncation2024 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS58744.2024.10558192(1-5)Online publication date: 19-May-2024
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '22: Proceedings of the 59th ACM/IEEE Design Automation Conference
July 2022
1462 pages
ISBN:9781450391429
DOI:10.1145/3489517
This work is licensed under a Creative Commons Attribution International 4.0 License.

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 23 August 2022

Check for updates

Qualifiers

  • Research-article

Conference

DAC '22
Sponsor:
DAC '22: 59th ACM/IEEE Design Automation Conference
July 10 - 14, 2022
California, San Francisco

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)330
  • Downloads (Last 6 weeks)37
Reflects downloads up to 04 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)HierCGRA: A Novel Framework for Large-scale CGRA with Hierarchical Modeling and Automated Design Space ExplorationACM Transactions on Reconfigurable Technology and Systems10.1145/365617617:2(1-31)Online publication date: 10-May-2024
  • (2024)FDRA: A Framework for a Dynamically Reconfigurable Accelerator Supporting Multi-Level ParallelismACM Transactions on Reconfigurable Technology and Systems10.1145/361422417:1(1-26)Online publication date: 27-Jan-2024
  • (2024)A 420 GOPS/W CGRA with a Configurable MAC and Dynamic Truncation2024 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS58744.2024.10558192(1-5)Online publication date: 19-May-2024
  • (2024)Coarse-grained reconfigurable architectures for radio baseband processing: A surveyJournal of Systems Architecture10.1016/j.sysarc.2024.103243154(103243)Online publication date: Sep-2024
  • (2023)Flip: Data-centric Edge CGRA AcceleratorACM Transactions on Design Automation of Electronic Systems10.1145/363111829:1(1-25)Online publication date: 18-Dec-2023
  • (2023)BusMap: Application Mapping With Bus Routing for Coarse-Grained Reconfigurable ArrayIEEE Transactions on Circuits and Systems II: Express Briefs10.1109/TCSII.2023.325368670:8(3054-3058)Online publication date: Aug-2023
  • (2023)Alleviating Transfer Latency in DataFlow Accelerator for DSP Applications2023 IEEE 41st International Conference on Computer Design (ICCD)10.1109/ICCD58817.2023.00073(440-443)Online publication date: 6-Nov-2023
  • (2023)High Performance, Low Power Matrix Multiply Design on ACAP: from Architecture, Design Challenges and DSE Perspectives2023 60th ACM/IEEE Design Automation Conference (DAC)10.1109/DAC56929.2023.10247981(1-6)Online publication date: 9-Jul-2023
  • (2023)Pipelined CNN Inference on Heterogeneous Multi-processor System-on-ChipEmbedded Machine Learning for Cyber-Physical, IoT, and Edge Computing10.1007/978-3-031-39932-9_16(405-427)Online publication date: 10-Oct-2023
  • (2022)Coarse-Grained Reconfigurable Array (CGRA)Handbook of Computer Architecture10.1007/978-981-15-6401-7_50-1(1-41)Online publication date: 25-Nov-2022

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media