Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3489517.3530578acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Towards collaborative intelligence: routability estimation based on decentralized private data

Published: 23 August 2022 Publication History
  • Get Citation Alerts
  • Abstract

    Applying machine learning (ML) in design flow is a popular trend in Electronic Design Automation (EDA) with various applications from design quality predictions to optimizations. Despite its promise, which has been demonstrated in both academic researches and industrial tools, its effectiveness largely hinges on the availability of a large amount of high-quality training data. In reality, EDA developers have very limited access to the latest design data, which is owned by design companies and mostly confidential. Although one can commission ML model training to a design company, the data of a single company might be still inadequate or biased, especially for small companies. Such data availability problem is becoming the limiting constraint on future growth of ML for chip design. In this work, we propose an Federated-Learning based approach for well-studied ML applications in EDA. Our approach allows an ML model to be collaboratively trained with data from multiple clients but without explicit access to the data for respecting their data privacy. To further strengthen the results, we co-design a customized ML model FLNet and its personalization under the decentralized training scenario. Experiments on a comprehensive dataset show that collaborative training improves accuracy by 11% compared with individual local models, and our customized model FLNet significantly outperforms the best of previous routability estimators in this collaborative training flow.

    References

    [1]
    Christoph Albrecht. 2005. IWLS 2005 benchmarks. In IWLS: http://www.iwls.org.
    [2]
    Daniel J Beutel, Taner Topal, Akhil Mathur, Xinchi Qiu, Titouan Parcollet, Pedro PB de Gusmão, and Nicholas D Lane. 2020. Flower: A friendly federated learning research framework. arXiv preprint arXiv:2007.14390 (2020).
    [3]
    Franc Brglez, David Bryan, and Krzysztof Kozminski. 1989. Combinational profiles of sequential benchmark circuits. In ISCAS.
    [4]
    Ismail S Bustany, David Chinnery, Joseph R Shinnerl, and Vladimir Yutsis. 2015. ISPD 2015 benchmarks with fence regions and routing blockages for detailed-routing-driven placement. In ISPD.
    [5]
    Cadence. 2021. Innovus Implementation System. https://www.cadence.com/en_US/home/tools/digital-design-and-signoff/soc-implementation-and-floorplanning/innovus-implementation-system.html
    [6]
    Chen-Chia Chang, Jingyu Pan, Tunhou Zhang, Zhiyao Xie, Jiang Hu, Weiyi Qi, Chunwei Lin, Rongjian Liang, Joydeep Mitra, Elias Fallon, and Yiran Chen. 2021. Automatic Routability Predictor Development Using Neural Architecture Search. In ICCAD.
    [7]
    Jingsong Chen, Jian Kuang, Guowei Zhao, Dennis J-H Huang, and Evangeline FY Young. 2020. PROS: A Plug-in for Routability Optimization applied in the State-of-the-art commercial EDA tool using deep learning. In ICCAD.
    [8]
    Fulvio Corno, Matteo Sonza Reorda, and Giovanni Squillero. 2000. RT-level ITC'99 benchmarks and first ATPG results. Design & Test of computers (2000).
    [9]
    Yen-Chun Fang, Heng-Yi Lin, Min-Yan Sui, Chien-Mo Li, and Eric Jia-Wei Fang. 2018. Machine-learning-based dynamic IR drop prediction for ECO. In ICCAD.
    [10]
    Avishek Ghosh, Jichan Chung, Dong Yin, and Kannan Ramchandran. 2020. An efficient framework for clustered federated learning. arXiv preprint arXiv:2006.04088 (2020).
    [11]
    Yaochen Hu, Di Niu, Jianming Yang, and Shengping Zhou. 2019. Fdml: A collaborative machine learning framework for distributed features. In KDD.
    [12]
    Guyue Huang, Jingbo Hu, Yifan He, Jialong Liu, Mingyuan Ma, Zhaoyang Shen, Juejian Wu, Yuanfan Xu, Hengrui Zhang, Kai Zhong, et al. 2021. Machine learning for electronic design automation: A survey. arXiv preprint arXiv:2102.03357 (2021).
    [13]
    Yiyang Jiang, Fan Yang, Bei Yu, Dian Zhou, and Xuan Zeng. 2020. Efficient Layout Hotspot Detection via Binarized Residual Neural Network Ensemble. TCAD (2020).
    [14]
    Tian Li, Anit Kumar Sahu, Manzil Zaheer, Maziar Sanjabi, Ameet Talwalkar, and Virginia Smith. 2018. Federated optimization in heterogeneous networks. arXiv preprint arXiv:1812.06127 (2018).
    [15]
    Paul Pu Liang, Terrance Liu, Liu Ziyin, Nicholas B Allen, Randy P Auerbach, David Brent, Ruslan Salakhutdinov, and Louis-Philippe Morency. 2020. Think locally, act globally: Federated learning with local and global representations. arXiv preprint arXiv:2001.01523 (2020).
    [16]
    Rongjian Liang, Hua Xiang, Diwesh Pandey, Lakshmi Reddy, Shyam Ramji, Gi-Joon Nam, and Jiang Hu. 2020. DRC Hotspot Prediction at Sub-10nm Process Nodes Using Customized Convolutional Network. In ISPD.
    [17]
    Yi-Chen Lu, Jeehyun Lee, Anthony Agnesina, Kambiz Samadi, and Sung Kyu Lim. 2019. GAN-CTS: A generative adversarial framework for clock tree prediction and optimization. In ICCAD.
    [18]
    Brendan McMahan, Eider Moore, Daniel Ramage, Seth Hampson, and Blaise Aguera y Arcas. 2017. Communication-efficient learning of deep networks from decentralized data. In Artificial Intelligence and Statistics. PMLR.
    [19]
    Mohammad Naseri, Jamie Hayes, and Emiliano De Cristofaro. 2020. Toward robustness and privacy in federated learning: Experimenting with local and central differential privacy. arXiv preprint arXiv:2009.03561 (2020).
    [20]
    Si2. 2018. NanGate 45nm Open Cell Library. https://si2.org/open-cell-library/
    [21]
    Jingwei Sun, Ang Li, Binghui Wang, Huanrui Yang, Hai Li, and Yiran Chen. 2020. Provable Defense against Privacy Leakage in Federated Learning from Representation Perspective. arXiv preprint arXiv:2012.06043 (2020).
    [22]
    Synopsys. 2021. IC Compiler II for Physical Implementation. https://www.synopsys.com/implementation-and-signoff/physical-implementation/ic-compiler.html
    [23]
    Zhiyao Xie, Yu-Hung Huang, Guan-Qi Fang, Haoxing Ren, Shao-Yun Fang, Yiran Chen, and Jiang Hu. 2018. RouteNet: Routability prediction for mixed-size designs using convolutional neural network. In ICCAD.
    [24]
    Zhiyao Xie, Rongjian Liang, Xiaoqing Xu, Jiang Hu, Chen-Chia Chang, Jingyu Pan, and Yiran Chen. 2022. Pre-Placement Net Length and Timing Estimation by Customized Graph Neural Network. TCAD (2022).
    [25]
    Zhiyao Xie, Xiaoqing Xu, Matt Walker, Joshua Knebel, Kumaraguru Palaniswamy, Nicolas Hebert, Jiang Hu, Huanrui Yang, Yiran Chen, and Shidhartha Das. 2021. APOLLO: An Automated Power Modeling Framework for Runtime Power Introspection in High-Volume Commercial Microprocessors. In MICRO.
    [26]
    Haoyu Yang, Shuhe Li, Zihao Deng, Yuzhe Ma, Bei Yu, and Evangeline FY Young. 2019. GAN-OPC: Mask optimization with lithography-guided generative adversarial nets. TCAD (2019).
    [27]
    Haoyu Yang, Luyang Luo, Jing Su, Chenxi Lin, and Bei Yu. 2017. Imbalance aware lithography hotspot detection: a deep learning approach. Journal of Micro/Nanolithography, MEMS, and MOEMS (2017).
    [28]
    Cunxi Yu and Zhiru Zhang. 2019. Painting on placement: Forecasting routing congestion using conditional generative adversarial nets. In DAC.
    [29]
    Fisher Yu and Vladlen Koltun. 2015. Multi-scale context aggregation by dilated convolutions. arXiv preprint arXiv:1511.07122 (2015).

    Cited By

    View all
    • (2024)Food Detection with Image Processing Using Convolutional Neural Network (CNN)Modern Approaches in Machine Learning and Cognitive Science: A Walkthrough10.1007/978-3-031-43009-1_13(141-146)Online publication date: 14-Jan-2024
    • (2024)Optimization of Area and Wirelength Using Hybrid BPSO Algorithm in VLSI Floorplan and Placement for IC DesignModern Approaches in Machine Learning and Cognitive Science: A Walkthrough10.1007/978-3-031-43009-1_11(121-130)Online publication date: 14-Jan-2024
    • (2024)Accelerated Addition in Resistive Ram Array Using Parallel-Friendly Majority GatesModern Approaches in Machine Learning and Cognitive Science: A Walkthrough10.1007/978-3-031-43009-1_10(111-119)Online publication date: 14-Jan-2024
    • Show More Cited By

    Index Terms

    1. Towards collaborative intelligence: routability estimation based on decentralized private data

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        DAC '22: Proceedings of the 59th ACM/IEEE Design Automation Conference
        July 2022
        1462 pages
        ISBN:9781450391429
        DOI:10.1145/3489517
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Sponsors

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 23 August 2022

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. federated learning
        2. physical design
        3. routability

        Qualifiers

        • Research-article

        Conference

        DAC '22
        Sponsor:
        DAC '22: 59th ACM/IEEE Design Automation Conference
        July 10 - 14, 2022
        California, San Francisco

        Acceptance Rates

        Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

        Upcoming Conference

        DAC '25
        62nd ACM/IEEE Design Automation Conference
        June 22 - 26, 2025
        San Francisco , CA , USA

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)106
        • Downloads (Last 6 weeks)1
        Reflects downloads up to 27 Jul 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)Food Detection with Image Processing Using Convolutional Neural Network (CNN)Modern Approaches in Machine Learning and Cognitive Science: A Walkthrough10.1007/978-3-031-43009-1_13(141-146)Online publication date: 14-Jan-2024
        • (2024)Optimization of Area and Wirelength Using Hybrid BPSO Algorithm in VLSI Floorplan and Placement for IC DesignModern Approaches in Machine Learning and Cognitive Science: A Walkthrough10.1007/978-3-031-43009-1_11(121-130)Online publication date: 14-Jan-2024
        • (2024)Accelerated Addition in Resistive Ram Array Using Parallel-Friendly Majority GatesModern Approaches in Machine Learning and Cognitive Science: A Walkthrough10.1007/978-3-031-43009-1_10(111-119)Online publication date: 14-Jan-2024
        • (2023)Progress of Placement Optimization for Accelerating VLSI Physical DesignElectronics10.3390/electronics1202033712:2(337)Online publication date: 9-Jan-2023
        • (2023)The Dark Side: Security and Reliability Concerns in Machine Learning for EDAIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.319917242:4(1171-1184)Online publication date: 1-Apr-2023
        • (2022)Deep Learning for RoutabilityMachine Learning Applications in Electronic Design Automation10.1007/978-3-031-13074-8_2(35-61)Online publication date: 10-Aug-2022

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media