Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3566097.3567905acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article

Decoupling Capacitor Insertion Minimizing IR-Drop Violations and Routing DRVs

Published: 31 January 2023 Publication History

Abstract

Decoupling capacitor (decap) cells are inserted near function cells of high switching activities so that their IR-drop can be suppressed. Their design becomes more complex and uses higher metal layers, thereby starting to manifest themselves as routing blockage. Post-placement decap insertion, with a goal of minimizing both IR-drop violations and routing design rule violations (DRVs), is addressed for the first time. U-Net with graph convolutional network is introduced to predict routing DRV penalty. The decap insertion problem is formulated and a heuristic algorithm is presented. Experiments with a few test circuits demonstrate that DRVs are reduced by 16% on average with no IR-drop violations, compared to a conventional method which does not explicitly consider DRVs. This results in 48% reduction in routing runtime and 23% improvement in total negative slack.

References

[1]
X. Meng, R. Saleh, and K. Arabi, "Layout of decoupling capacitors in IP blocks for 90-nm CMOS," IEEE Trans. on VLSI Syst., vol. 16, no. 11, pp. 1581--1588, 2008.
[2]
T. Charania, A. Opal, and M. Sachdev, "Analysis and design of on-chip decoupling capacitors," IEEE Trans. on VLSI Syst., vol. 21, no. 4, pp. 648--658, 2013.
[3]
H. Su, S. Sapatnekar, and S. Nassif, "Optimal decoupling capacitor sizing and placement for standard-cell layout designs," IEEE Trans. on Comput.-Aided Des. Integr. Circuits Syst., vol. 22, no. 4, pp. 428--436, 2003.
[4]
H. Li et al., "Partitioning-based approach to fast on-chip decoupling capacitor budgeting and minimization," IEEE Trans. on Comput.-Aided Des. Integr. Circuits Syst., vol. 25, no. 11, pp. 2402--2412, 2006.
[5]
M. Zhao, R. Panda, S. Sundareswaran, S. Yan, and Y. Fu, "A fast on-chip decoupling capacitance budgeting algorithm using macromodeling and linear programming," in Proc. Design Automat. Conf., 2006, pp. 217--222.
[6]
T.-Y. Lin, P. Goyal, R. Girshick, K. He, and P. Dollár, "Focal loss for dense object detection," in IEEE Proc. Int. Conf. on Computer Vision, 2017, pp. 2980--2988.
[7]
C.-W. Ho, A. Ruehli, and P. Brennan, "The modified nodal approach to network analysis," IEEE Trans. on Circuits and Syst., vol. 22, no. 6, pp. 504--509, 1975.
[8]
ITC99, "ITC'99," Accessed: May 21, 2021. [Online]. Available: http://www.cerc.utexas.edu/itc99-benchmarks/bench.html
[9]
OpenCores, "OpenCores," Accessed: May 21, 2021. [Online]. Available: http://www.opencores.org
[10]
Design Compiler User Guide, Synopsys, Jun. 2015.
[11]
IC Compiler II User Guide, Synopsys, Dec. 2021.
[12]
Redhawk User Guide, Ansys, Feb. 2021.
[13]
A. Kahng, B. Liu, and Q. Wang, "Supply voltage degradation aware analytical placement," in Proc. Int. Conf. on Comput. Design, 2005, pp. 437--443.
[14]
S. i. Heo, A. Kahng, M. Kim, L. Wang, and C. Yang, "Detailed placement for IR drop mitigation by power staple insertion in sub-10nm VLSI," in Proc. Design, Automat. and Test in Europe Conf. and Exh., 2019, pp. 830--835.
[15]
X.-X. Huang, H.-C. Chen, S.-W. Wang, I. H.-R. Jiang, Y.-C. Chou, and C.-H. Tsai, "Dynamic IR-drop ECO optimization by cell movement with current waveform staggering and machine learning guidance," in Proc. Int. Conf. on Comput. Aided Design, 2020, pp. 1--9.
[16]
B. Gunna, L. Bhamidipati, H. Homayoun, and A. Sasan, "Spatial and temporal scheduling of clock arrival times for IR hot-spot mitigation, reformulation of peak current reduction," in Proc. Int. Symp. on Low Power Electron. and Des., 2017, pp. 1--6.
[17]
Gurobi Optimization, Inc., "Gurobi optimizer reference manual," Accessed: Jun. 07, 2021. [Online]. Available: http://www.gurobi.com
[18]
A. Paszke et al., "Pytorch: an imperative style, high-performance deep learning library," Advances in Neural Inf. Process. Syst., vol. 32, 2019.
[19]
D. P. Kingma and J. Ba, "Adam: a method for stochastic optimization," arXiv preprint arXiv:1412.6980, 2014.
[20]
X. Chen, Z. Di, W. Wu, Q. Wu, J. Shi, and Q. Feng, "Detailed routing short violation prediction using graph-based deep learning model," IEEE Trans. on Circuits and Syst. II: Express Briefs, vol. 69, no. 2, pp. 564--568, 2022.

Cited By

View all
  • (2023)CircuitNet: An Open-Source Dataset for Machine Learning in VLSI CAD Applications With Improved Domain-Specific Evaluation Metric and Learning StrategiesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.328797042:12(5034-5047)Online publication date: Dec-2023
  • (2023)Power Distribution Network Optimization Using HLA-GCN for Routability Enhancement2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323833(1-8)Online publication date: 28-Oct-2023

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ASPDAC '23: Proceedings of the 28th Asia and South Pacific Design Automation Conference
January 2023
807 pages
ISBN:9781450397834
DOI:10.1145/3566097
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

  • IPSJ
  • IEEE CAS
  • IEEE CEDA
  • IEICE

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 31 January 2023

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. decoupling capacitor
  2. design rule violation
  3. dynamic IR-drop
  4. machine learning

Qualifiers

  • Research-article

Funding Sources

  • This work was supported in part by the Institute of Information and communications Technology Planning and Evaluation (IITP) grant funded by the Korea Government (MSIT) through Software Systems for AI Semiconductor Design under Grant 2021-0-00754, and in part by Synopsys. The EDA tool was supported by the IC Design Education Center (IDEC), Korea.

Conference

ASPDAC '23
Sponsor:

Acceptance Rates

ASPDAC '23 Paper Acceptance Rate 102 of 328 submissions, 31%;
Overall Acceptance Rate 466 of 1,454 submissions, 32%

Upcoming Conference

ASPDAC '25

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)133
  • Downloads (Last 6 weeks)10
Reflects downloads up to 09 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2023)CircuitNet: An Open-Source Dataset for Machine Learning in VLSI CAD Applications With Improved Domain-Specific Evaluation Metric and Learning StrategiesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.328797042:12(5034-5047)Online publication date: Dec-2023
  • (2023)Power Distribution Network Optimization Using HLA-GCN for Routability Enhancement2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323833(1-8)Online publication date: 28-Oct-2023

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media