Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3626184.3633325acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

Novel Airgap Insertion and Layer Reassignment for Timing Optimization Guided by Slack Dependency

Published: 12 March 2024 Publication History

Abstract

BEOL with airgap technology is an alternative metallization option with promising performance, electrical yield and reliability to explore at 2nm node and beyond. Airgaps form cavities in inter-metal dielectrics (IMD) between interconnects. The ultra-low dielectric constant reduces line-to-line capacitance, thus shortening the interconnect delay. The shortened interconnect delay is beneficial to setup timing but harmful to hold timing. To minimize the additional manufacturing cost, the number of metal layers that accommodate airgaps is practically limited. Hence, circuit timing optimization at post routing can be achieved by wisely performing airgap insertion and layer reassignment to timing critical nets. In this paper, we present a novel and fast airgap insertion approach for timing optimization. A Slack Dependency Graph (SDG) is constructed to view the timing slack relationship of a circuit with path segments. With the global view provided by SDG, we can avoid ineffective optimizations. Our Linear Programming (LP) formulation simultaneously solves airgap insertion and layer reassignment and allows a flexible amount of airgap to be inserted. Both SDG update and LP solving can be done extremely fast. Experimental results show that our approach outperforms the state-of-the-art work on both total negative slack (TNS) and worst negative slack (WNS) with more than 89× speedup.

References

[1]
2017. QCQP. https://github.com/cvxgrp/qcqp
[2]
2019. TAU 2019 Design Optimization Contest. https://sites.google.com/view/taucontest-2019/home
[3]
2020. IC Compiler (version: R-2020.09) and PrimeTime. https://www.synopsys. com/implementation-and-signoff/physical-implementation/ic-compiler.html
[4]
J. Bhasker and R. Chadha. 2009. Static Timing Analysis for Nanometer Designs (1 ed.). Springer US. 572 pages.
[5]
H.-Y. Chang, I. H.-R. Jiang, and Y.-W. Chang. 2012. Timing ECO optimization via Bézier curve smoothing and fixability identification. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 31, 12 (2012), 1857--1866.
[6]
K. Chang, K. Acharya, S. Sinha, B. Cline, G. Yeric, and S. K. Lim. 2017. Impact and design guideline of monolithic 3-D IC at the 7-nm technology node. IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 25, 7 (2017), 2118-- 2129.
[7]
I. Ciofi, A. Contino, P. J. Roussel, R. Baert, V.-H. Vega-Gonzalez, K. Croes, M. Badaroglu, C. J. Wilson, P. Raghavan, A. Mercha, D. Verkest, G. Groeseneken, D. Mocuta, and A. Thean. 2016. Impact of Wire Geometry on Interconnect RC and Circuit Delay. IEEE Transactions on Electron Devices (TED) 63, 6 (2016), 2488--2496.
[8]
W. C Elmore. 1948. The transient response of damped linear networks with particular regard to wideband amplifiers. Journal of applied physics 19, 1 (1948), 55--63.
[9]
K. Fischer, M. Agostinelli, C. Allen, D. Bahr, M. Bost, P. Charvat, V. Chikarmane, Q. Fu, C. Ganpule, M. Haran, M. Heckscher, H. Hiramatsu, E. Hwang, P. Jain, I. Jin, R. Kasim, S. Kosaraju, K. S. Lee, H. Liu, R. McFadden, S. Nigam, R. Patel, C. Pelto, P. Plekhanov, M. Prince, C. Puls, S. Rajamani, D. Rao, P. Reese, A. Rosenbaum, S. Sivakumar, B. Song, M. Uncuer, S. Williams, M. Yang, P. Yashar, and S. Natarajan. 2015. Low-k interconnect stack with multi-layer air gap and tri-metal-insulatormetal capacitors for 14nm high volume manufacturing. In IEEE International Interconnect Technology Conference and IEEE Materials for Advanced Metallization Conference (IITC/MAM). 5--8.
[10]
N. Horiguchi and T. Zsolt. 2020. A view on the logic technology roadmap. Semiconductor Digest August/September (2020), 12--17.
[11]
D. Hyun and Y. Shin. 2019. Integrated approach of airgap insertion for circuit timing optimization. ACM Transactions on Design Automation of Electronic Systems (TODAES) 24, 2 (2019), 24:1--24:22.
[12]
Y. Jung, D. Hyun, and Y. Shin. 2020. Integrated airgap insertion and layer reassignment for circuit timing optimization. In 25th Asia and South Pacific Design Automation Conference (ASP-DAC). 32--37.
[13]
A. Lesniewska, O. Varela Pedreira, Ph. J. Roussel, G. Marti, A. Pokhrel, M. van der Veen, S. Decoster, M. O'Toole, G. Murdoch, I. Ciofi, S. Park, Zs. Tokei, and K. Croes. 2022. Reliability Evaluation of Semi-damascene Ru/Air-Gap interconnect with Metal Pitch down to 18 nm. In IEEE International Interconnect Technology Conference (IITC). 28--30. https://doi.org/10.1109/IITC52079.2022.9881299
[14]
O. Varela Pedreira, M. Lofrano, G. Murdoch, M. van der Veen, A. Dangol, N. Horiguchi, Zs. Tokei, and K. Croes. 2021. Reliability of a DME Ru Semidamascene scheme with 16 nm wide Airgaps. In IEEE International Reliability Physics Symposium (IRPS). 1--6.
[15]
Y.-J. Mii. 2022. Semiconductor Innovations, from Device to System. In IEEE Symposium on VLSI Technology and Circuits (VLSI). 276--281.
[16]
G. Murdoch, Z. Tokei, S. Paolillo, O. V. Pedreira, K. Vanstreels, and C. J. Wilson. 2020. Semidamascene Interconnects for 2nm node and Beyond. In IEEE International Interconnect Technology Conference (IITC). 4--6.
[17]
S. Natarajan, M. Agostinelli, S. Akbar, M. Bost, A. Bowonder, V. Chikarmane, S. Chouksey, A. Dasgupta, K. Fischer, Q. Fu, T. Ghani, M. Giles, S. Govindaraju, R. Grover, W. Han, D. Hanken, E. Haralson, M. Haran, M. Heckscher, R. Heussner, P. Jain, R. James, R. Jhaveri, I. Jin, H. Kam, E. Karl, C. Kenyon, M. Liu, Y. Luo, R. Mehandru, S. Morarka, L. Neiberg, P. Packan, A. Paliwal, C. Parker, P. Patel, R. Patel, C. Pelto, L. Pipes, P. Plekhanov, M. Prince, S. Rajamani, J. Sandford, B. Sell, S. Sivakumar, P. Smith, B. Song, K. Tone, T. Troeger, J. Wiedemer, M. Yang, and K. Zhang. 2014. A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 m 2 SRAM cell size. In IEEE International Electron Devices Meeting (IEDM). 3.7.1--3.7.3.
[18]
C. Penny, S. Gates, B. Peethala, J. Lee, D. Priyadarshini, S. Nguyen, P. McLaughlin, E. Liniger, C.-K. Hu, L. Clevenger, T. Hook, H. Shobha, P. Kerber, I. Seshadri, J. Chen, D. Edelstein, R. Quon, G. Bonilla, V. Paruchuri, and E. Huang. 2017. Reliable airgap BEOL technology in advanced 48 nm pitch copper/ULK interconnects for substantial power and performance benefits. In IEEE International Interconnect Technology Conference (IITC). 1--4.
[19]
B. Yu, D. Liu, S. Chowdhury, and D. Z. Pan. 2015. TILA: Timing-driven incremental layer assignment. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 110--117.

Index Terms

  1. Novel Airgap Insertion and Layer Reassignment for Timing Optimization Guided by Slack Dependency

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        ISPD '24: Proceedings of the 2024 International Symposium on Physical Design
        March 2024
        286 pages
        ISBN:9798400704178
        DOI:10.1145/3626184
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

        Sponsors

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 12 March 2024

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. airgap
        2. beol interconnect
        3. layer assignment
        4. timing optimization

        Qualifiers

        • Research-article

        Funding Sources

        • Taiwan National Science and Technology Council

        Conference

        ISPD '24
        Sponsor:

        Acceptance Rates

        Overall Acceptance Rate 62 of 172 submissions, 36%

        Upcoming Conference

        ISPD '25
        International Symposium on Physical Design
        March 16 - 19, 2025
        Austin , TX , USA

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • 0
          Total Citations
        • 80
          Total Downloads
        • Downloads (Last 12 months)80
        • Downloads (Last 6 weeks)12
        Reflects downloads up to 09 Nov 2024

        Other Metrics

        Citations

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media