Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3649329.3656226acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article
Open access

IG-CRM: Area/Energy-Efficient IGZO-Based Circuits and Architecture Design for Reconfigurable CIM/CAM Applications

Published: 07 November 2024 Publication History

Abstract

Artificial intelligence is evolving with various algorithms such as deep neural network (DNN), Transformer, recommendation system (RecSys) and graph convolutional network (GCN). Correspondingly, multiply-accumulate (MAC) and content search are two main operations, which can be efficiently executed on the emerging computing-in-memory (CIM) and content-addressable-memory (CAM) paradigms. Recently, the emerging Indium-Gallium-Zine-Oxide (IGZO) transistor becomes a promising candidate for both CIM/CAM circuits, featuring ultra-low leakage with >300s data retention time and high-density BEOL fabrication. This paper proposes IG-CRM, the first IGZO-based circuits and architecture design for Reconfigurable CIM/CAM applications. The main contributions include: 1) at cell level, propose IGZO-based 3T0C/4T0C cell design that enables both CIM and CAM functionalities while matching IGZO/CMOS voltage; 2) at circuit level, utilize the BEOL IGZO transistor to reduce digital adder tree area in CIM circuits; 3) at architecture level, propose a reconfigurable CIM/CAM architecture with four macro structures based on 3T0C/4T0C cells. The proposed IG-CRM architecture shows high area/energy efficiency on various applications including DNN, Transformer, RecSys and GCN. Experiment results show that IG-CRM achieves 8.09X area saving compared with the SRAM-based non-reconfigurable CIM/CAM baseline, and 1.53×103X/51.9X speedup and 1.63×104X/7.62×103X energy efficiency improvement compared with CPU and GPU on average.

References

[1]
Kaiming He et al. "Deep residual learning for image recognition". In: Proceedings of IEEE conference on computer vision and pattern recognition. 2016, pp. 770--778.
[2]
Alexey Dosovitskiy et al. "An image is worth 16×16 words: Transformers for image recognition at scale". In: arXiv preprint arXiv:2010.11929 (2020).
[3]
Maxim Naumov et al. "Deep learning recommendation model for personalization and recommendation systems". In: arXiv preprint arXiv:1906.00091 (2019).
[4]
Thomas N Kipf and Max Welling. "Semi-supervised classification with graph convolutional networks". In: arXiv preprint arXiv:1609.02907 (2016).
[5]
Chuan-Jia Jhang et al. "Challenges and Trends of SRAM-Based Computing-In-Memory for AI Edge Devices". In: IEEE Transactions on Circuits and Systems I: Regular Papers 68.5 (2021), pp. 1773--1786.
[6]
Kostas Pagiamtzis and Ali Sheikholeslami. "Content-addressable memory (CAM) circuits and architectures: A tutorial and survey". In: IEEE journal of solid-state circuits 41.3 (2006), pp. 712--727.
[7]
Yu-Der Chih et al. "An 89TOPS/W and 16.3TOPS/mm2 all-digital SRAM-based full-precision compute-in memory macro in 22nm for machine-learning edge applications". In: IEEE International Solid-State Circuits Conference(ISSCC). 2021.
[8]
Cheng-Xin Xue et al. "A 22nm 2Mb ReRAM compute-in-memory macro with 121-28TOPS/W for multibit MAC computing for tiny AI edge devices". In: 2020 IEEE International Solid-State Circuits Conference-(ISSCC). IEEE. 2020.
[9]
A Belmonte et al. "Capacitor-less, long-retention (> 400s) DRAM cell paving the way towards low-power and high-density monolithic 3D DRAM". In: 2020 IEEE International Electron Devices Meeting (IEDM). IEEE. 2020, pp. 28--2.
[10]
Xinlv Duan et al. "Novel vertical channel-all-around (CAA) In-Ga-Zn-O FET for 2T0C-DRAM with high density beyond 4F2 by monolithic stacking". In: IEEE Transactions on Electron Devices 69.4 (2022), pp. 2196--2202.
[11]
Jingrui Guo et al. "A new surface potential and physics based compact model for a-IGZO TFTs at multinanoscale for high retention and low-power DRAM application". In: IEEE International Electron Devices Meeting (IEDM). IEEE. 2021.
[12]
Ping Chi et al. "Prime: A novel processing-in-memory architecture for neural network computation in reram-based main memory". In: ACM/IEEE International Symposium on Computer Architecture (ISCA) (2016).
[13]
Linghao Song et al. "Pipelayer: A pipelined reram-based accelerator for deep learning". In: IEEE high performance computer architecture (HPCA). IEEE. 2017.
[14]
Lixia Han et al. "A Convolution Neural Network Accelerator Design with Weight Mapping and Pipeline Optimization". In: 2023 60th ACM/IEEE Design Automation Conference (DAC). IEEE. 2023, pp. 1--6.
[15]
Nagadastagiri Challapalle et al. "Crossbar based processing in memory accelerator architecture for graph convolutional networks". In: 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD). IEEE. 2021.
[16]
Nagadastagiri Challapalle et al. "GaaS-X: Graph analytics accelerator supporting sparse data representation using crossbar architectures". In: 2020 ACM/IEEE International Symposium on Computer Architecture (ISCA). IEEE. 2020.
[17]
Linghao Song et al. "GraphR: Accelerating graph processing using ReRAM". In: 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE. 2018, pp. 531--543.
[18]
Tao Yang et al. "PIMGCN: a reram-based PIM design for graph convolutional network acceleration". In: 2021 58th ACM/IEEE Design Automation Conference (DAC). IEEE. 2021, pp. 583--588.
[19]
Mengyuan Li et al. "Imars: An in-memory-computing architecture for recommendation systems". In: Proceedings of the 59th ACM/IEEE Design Automation Conference. 2022, pp. 463--468.
[20]
Zhuang Liu et al. "A convnet for the 2020s". In: Proceedings of the IEEE/CVF conference on computer vision and pattern recognition. 2022, pp. 11976--11986.
[21]
Prithviraj Sen et al. "Collective classification in network data". In: AI magazine 29.3 (2008), pp. 93--93.
[22]
Ali Keshavarzi et al. "Ferroelectronics for edge intelligence". In: IEEE MICRO 40.6 (2020), pp. 33--48.
[23]
Menggan Liu et al. "Analog Monolayer MoS2 Transistor with Record-high Intrinsic Gain (> 100 dB) and Ultra-low Saturation Voltage (< 0.1 V) by Source Engineering". In: 2021 Symposium on VLSI Technology. IEEE. 2021, pp. 1--2.

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '24: Proceedings of the 61st ACM/IEEE Design Automation Conference
June 2024
2159 pages
ISBN:9798400706011
DOI:10.1145/3649329
This work is licensed under a Creative Commons Attribution International 4.0 License.

Sponsors

In-Cooperation

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 07 November 2024

Check for updates

Author Tags

  1. reconfigurable
  2. IGZO
  3. computing-in-memory
  4. content-addressable memory

Qualifiers

  • Research-article

Funding Sources

Conference

DAC '24
Sponsor:
DAC '24: 61st ACM/IEEE Design Automation Conference
June 23 - 27, 2024
CA, San Francisco, USA

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • 0
    Total Citations
  • 190
    Total Downloads
  • Downloads (Last 12 months)190
  • Downloads (Last 6 weeks)125
Reflects downloads up to 15 Jan 2025

Other Metrics

Citations

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media