Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article
Free access

Measurement and evaluation of the MIPS architecture and processor

Published: 01 August 1988 Publication History

Abstract

MIPS is a 32-bit processor architecture that has been implemented as an nMOS VLSI chip. The instruction set architecture is RISC-based. Close coupling with compilers and efficient use of the instruction set by compiled programs were goals of the architecture. The MIPS architecture requires that the software implement some constraints in the design that are normally considered part of the hardware implementation. This paper presents experimental results on the effectiveness of this processor as a program host. Using sets of large and small benchmarks, the instruction and operand usage patterns are examined both for optimized and unoptimized code. Several of the architectural and organizational innovations in MIPS, including software pipeline scheduling, multiple-operation instructions, and word-based addressing, are examined in light of this data.

References

[1]
BECLER, M. Beyond the Baskett Benchmark. Comput. Architecture News 12, 1 (March 1984), 20-31.
[2]
CHOW, F. A portable machine-independent global optimizer--design and measurements. Ph.D. dissertation, Stanford Univ., Stanford, Cal., Dec. 1983.
[3]
CHOW, F. C., AND HENNESSY, J.L. Register allocation by priority-based coloring. In Proceedings of 1984 Compiler Construction Conference (Montreal, June 17-22, 1984). ACM, New York, 1984, pp. 222-232.
[4]
CHOW, P., AND HOROWITZ, M. The MIPS-X microprocessor. In Proceedings o{ WESCON 85 (San Francisco, Nov. 19-21, 1985). IEEE, New York, 1985, Sect. 6-1, pp. 1-6.
[5]
CLARK, D., AND EMER, J. A characterization of processor performance in the VAX 11/780. In Proceedings of 11th Annual Symposium on Computer Architecture (Ann Arbor, Mich., June 5-7, 1984). ACM/IEEE, New York, 1984, pp. 301-311.
[6]
CLARK, D., AND LEVY, H. Measurement and analysis of instruction use in the VAX 11/780. In Proceedings of 9th Annual Symposium on Computer Architecture (Austin, Tex., April 12-14, 1982). ACM/IEEE, New York, 1982, pp. 9-17.
[7]
GROSS, T. R. Code optimization of pipeline constraints. Ph.D. dissertation, Stanford Univ., Stanford, Cal., Aug. 1983.
[8]
GROSS, T. R., AND HENNESSY, J.L. Optimizing delayed branches. In Proceedings of Micro-15 (Palo Alto, Cal., Oct. 5-7, 1982). IEEE, New York, 1982, pp. 114-120.
[9]
HENNESSY, J.L. VLSI RISC Processors. VLSI Syst. Des. VI, 10 (Oct. 1985), 22-32.
[10]
HENNESSY, J. L., AND GROSS, T.R. Postpass code optimization of pipeline constraints. ACM Trans. Program. Lang. Syst. 5, 3 (July 1983), 422-448.
[11]
HENNESSY, J. L., JovPPl, N., BASKETT, F., AND GILL, J. MIPS: A VLSI processor architecture. In Proceedings of CMU Conference on VLSI Systems and Computations (Oct. 1981).
[12]
HENNESSY, J. L., JouPPI, N., BASKETT, F., GROSS, T. R., AND GmL, J. Hardware/software tradeoffs for increased performance. In Symposium on Architectural Support for Programming Languages and Operating Systems (Palo Alto, Cal., March 1-5, 1982). ACM, New York, 1982, pp. 2-11.
[13]
HOPKINS, M. Compiling high-level functions on low-level machines. In Proceedings of International Conference on Computer Design (Port Chester, N.Y., Oct. 31-Nov. 1, 1983). IEEE, New York, 1983, pp. 617-619.
[14]
HUCK, J. Comparative analysis of computer architectures. Ph.D. dissertation, CSL TR 83-243, Stanford Univ., Stanford, Cal., May 1983.
[15]
JOHNSON, S. C. A portable compiler: Theory and practice. In Proceedings of 5th POPL Conference (Tucson, Ariz., Jan. 23-29, 1978). ACM, New York, 1978, pp. 97-104.
[16]
KATEVENIS, M. G.H. Reduced instruction set computer architectures for VLSI. Ph.D. dissertation, Univ. of California, Berkeley, Oct. 1983.
[17]
KOGGE, P. M. The Architecture of Pipelined Computers. McGraw-Hill, New York, 1981.
[18]
MCFARLING, S., AND HENNESSY, J.L. Reducing the cost of branches. In Proceedings of 13th Symposium on Computer Architecture (Tokyo, June 2-5, 1986). IEEE/ACM, New York, 1986, pp. 396-403.
[19]
PATTERSON, D. Reduced instruction set computers. Commun. ACM 28, 1 (Jan. 1985), 8-21.
[20]
PRZBYLSKI, S., GROSS, T., HENNESSY, J. L., JouPPI, N., AND ROWEN, C. Organization and VLSI implementation of MIPS. J. VLSI Comput. Syst. 1, 2 (1984), 170-208.
[21]
TAYLOR, G., HILFINGER, P., LARUS, ZORN, PATTERSON. Evaluation of the SPUR LISP Architecture. In Proceedings of 13th Symposium on Computer Architecture (Tokyo, June 2-5, 1986). IEEE/ACM, New York, 1986, pp. 444-452.
[22]
WIECEK, C. A case study of VAX-11 instruction set usage for compiler execution. In Proceedings of Symposium on Architectural Support/or Programming Languages and Operating Systems (Palo Alto, Cal., March 1-3, 1982). ACM, New York, 1982, pp. 177-184.

Cited By

View all
  • (2023)Scalability of IoT Systems: Do Execution Costs Predict the Quality of Service?Internet of Everything10.1007/978-3-031-25222-8_8(91-100)Online publication date: 29-Jan-2023
  • (2006)Profile‐guided automatic inline expansion for C programsSoftware: Practice and Experience10.1002/spe.438022050222:5(349-369)Online publication date: 30-Oct-2006
  • (1996)FredProceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems10.5555/785164.785210Online publication date: 18-Mar-1996
  • Show More Cited By

Recommendations

Reviews

Lanfranco Lopriore

This paper presents the results of a large number of measurements on the performance of MIPS, a 32-bit reduced instruction set computer (RISC) architecture implemented at Stanford University between 1981 and 1984 as a single-chip microprocessor. Special features of this architecture are word addressing, multiple-operation instructions, and pipelining. The measurements were performed by executing a set of benchmark programs, written in Pascal and C, whose lengths ranged from 32 to 14,311 lines of source code (the static and dynamic characteristics of each program are carefully reported). The paper pays special attention not only to the salient features of the instruction set performance (e.g., operation code distribution and addressing mode utilization), but also to such important aspects of the internal organization of the architecture as the use of machine resources and the efficiency of the pipeline. An attempt is made to compare some of these results with data available in the literature for the VAX architecture. Unfortunately, this issue is addressed only marginally. The paper gives a brief but adequate description of the MIPS architecture. The references include introductory works on the RISC concept as well as several papers, written by the members of the MIPS project team, in which the reader can find the rationale for the MIPS design. An in-depth examination of the architectural implications of each measurement is also provided. As the authors carefully point out, measurements depend on the benchmark programs as well as on the machine-compiler complex. In spite of this fact, this self-contained and well-structured paper is definitely useful reading for every computer architect involved in instruction set design.

Access critical reviews of Computing literature here

Become a reviewer for Computing Reviews.

Comments

Information & Contributors

Information

Published In

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 August 1988
Published in TOCS Volume 6, Issue 3

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)358
  • Downloads (Last 6 weeks)14
Reflects downloads up to 14 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2023)Scalability of IoT Systems: Do Execution Costs Predict the Quality of Service?Internet of Everything10.1007/978-3-031-25222-8_8(91-100)Online publication date: 29-Jan-2023
  • (2006)Profile‐guided automatic inline expansion for C programsSoftware: Practice and Experience10.1002/spe.438022050222:5(349-369)Online publication date: 30-Oct-2006
  • (1996)FredProceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems10.5555/785164.785210Online publication date: 18-Mar-1996
  • (1996)Fred: an architecture for a self-timed decoupled computerProceedings Second International Symposium on Advanced Research in Asynchronous Circuits and Systems10.1109/ASYNC.1996.494438(60-68)Online publication date: 1996
  • (1994)Pipelining and Bypassing in a VLIW ProcessorIEEE Transactions on Parallel and Distributed Systems10.1109/71.2856125:6(658-664)Online publication date: 1-Jun-1994
  • (1992)A graphical comparison of RISC processorsACM SIGARCH Computer Architecture News10.1145/142880.14288420:4(2-8)Online publication date: 1-Sep-1992
  • (1991)On the validity of trace-driven simulation for multiprocessorsACM SIGARCH Computer Architecture News10.1145/115953.11597719:3(244-253)Online publication date: 1-Apr-1991
  • (1991)An empirical study of the CRAY Y-MP processor using the Perfect club benchmarksACM SIGARCH Computer Architecture News10.1145/115953.11597019:3(170-179)Online publication date: 1-Apr-1991
  • (1991)On the validity of trace-driven simulation for multiprocessorsProceedings of the 18th annual international symposium on Computer architecture10.1145/115952.115977(244-253)Online publication date: 1-Apr-1991
  • (1991)An empirical study of the CRAY Y-MP processor using the Perfect club benchmarksProceedings of the 18th annual international symposium on Computer architecture10.1145/115952.115970(170-179)Online publication date: 1-Apr-1991
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Full Access

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media