Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/589411.589433acmconferencesArticle/Chapter ViewAbstractPublication PagestauConference Proceedingsconference-collections
Article

Wireless interconnects for clock distribution

Published: 02 December 2002 Publication History

Abstract

A wireless interconnect system for clock distribution which transmits and receives microwave signals across a chip using integrated antennas, receivers, and transmitters is presented. All of the com-ponents of the system are demonstrated at 15 GHz in a 0.18-m CMOS technology. Wireless interconnection is achieved over a distance of 5.6 mm.

References

[1]
Bomstad, W., and O, K. K., "Phase and amplitude distribution measurements using a compact test range applicable to wireless clock distribution," IEEE AP-S Int. Symp. and USNC/URSI National Radio Science Mtg., July 2002, pp.726--729.
[2]
Dickson, T., Floyd, B., and O, K. "Jitter in a wireless clock distribution system," Proc. International Interconnect Technology Conference, pp. 154--156, May 2002.
[3]
Floyd, B. A., Hung, C.-M., and O, K. K., "Intra-chip wireless interconnect for clock distribution implemented with integrated antennas, receivers, and transmitters," IEEE J. Solid-State Circuits, vol. 37, no. 5, pp. 543--552, May 2002.
[4]
Floyd, B. A., Kim, K., and O, K. K., "Wireless interconnection in a CMOS IC with integrated antennas," ISSCC Digest Technical Papers, Feb. 2000, pp. 328--329.
[5]
Floyd, B. A., Mehta, J., Gamero, C., and O, K. K., "A 900-MHz, 0.8-um CMOS low noise amplifier with 1.2-dB noise figure," Proc. IEEE Custom Integrated Circuits Conference, May 1999, pp.661--664.
[6]
Floyd, B. A., and O, K. K., "The projected power consumption of a wireless clock distribution system and comparison to conventional distribution systems," Proc. International Interconnect Technology Conference, pp. 248--250, May 1999.
[7]
Guo, X., Caserta, J., Li, R., Floyd, B., and O, K. K., "Propagation layers for intra-chip wireless interconnection compatible with packaging and heat removal," Symp. VLSI Circuits Dig. Tech. Papers, pp. 36--37, June 2002.
[8]
Hung, C.-M., Floyd, B., and O, K., "Fully integrated CMOS VCOs and prescalers," IEEE Trans. on Microwave Theory and Techniques, vol. 49, no. 1, pp. 17--22, Jan. 2001.
[9]
Kim, K., Yoon, H., and O, K. K., "On-chip wireless inter-connection with integrated antennas," IEDM Technical Digest, Dec. 2000, pp. 485--488.
[10]
O, K. K., Kim, K., Floyd, B., and Mehta, J., "Inter and intra-chip clock signal distribution using microwaves," 1997 IEEE Solid State Circuits and Technology Committee Workshop on Clock Distribution, Oct. 1997, Atlanta, GA.
[11]
Semiconductor Industry Association, The International Technology Roadmap for Semiconductors, San Jose, CA: SIA, 2001.
[12]
Paciorek, L. J., "Injection locking of oscillators," Proc. IEEE, vol. 53, no. 11, pp. 1723--1727, Nov. 1965.
[13]
Yoon, H., Kim, K., and O, K. K., "Interference effects on integrated dipole antennas by a metal cover for an integrated circuit package," IEEE AP-S Int. Symp. and USNC/URSI National Radio Science Mtg., July 2000, pp.782--785.

Cited By

View all
  • (2019)An energy-efficient partition-based XYZ-planar routing algorithm for a wireless network-on-chipThe Journal of Supercomputing10.1007/s11227-018-2617-x75:2(837-861)Online publication date: 1-Feb-2019
  • (2009)Zero clock skew synchronization with rotary clocking technologyProceedings of the 2009 10th International Symposium on Quality of Electronic Design10.1109/ISQED.2009.4810360(588-593)Online publication date: 16-Mar-2009
  • (2006)Timing-Driven Physical Design for VLSI Circuits Using Resonant Rotary Clocking2006 49th IEEE International Midwest Symposium on Circuits and Systems10.1109/MWSCAS.2006.382047(261-265)Online publication date: Aug-2006
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
TAU '02: Proceedings of the 8th ACM/IEEE international workshop on Timing issues in the specification and synthesis of digital systems
December 2002
156 pages
ISBN:1581135262
DOI:10.1145/589411
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 02 December 2002

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. RF CMOS
  2. clock distribution
  3. integrated antenna
  4. interconnect
  5. wireless communication
  6. wireless interconnect

Qualifiers

  • Article

Conference

TAU02
Sponsor:

Acceptance Rates

TAU '02 Paper Acceptance Rate 19 of 42 submissions, 45%;
Overall Acceptance Rate 19 of 42 submissions, 45%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)2
  • Downloads (Last 6 weeks)0
Reflects downloads up to 03 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2019)An energy-efficient partition-based XYZ-planar routing algorithm for a wireless network-on-chipThe Journal of Supercomputing10.1007/s11227-018-2617-x75:2(837-861)Online publication date: 1-Feb-2019
  • (2009)Zero clock skew synchronization with rotary clocking technologyProceedings of the 2009 10th International Symposium on Quality of Electronic Design10.1109/ISQED.2009.4810360(588-593)Online publication date: 16-Mar-2009
  • (2006)Timing-Driven Physical Design for VLSI Circuits Using Resonant Rotary Clocking2006 49th IEEE International Midwest Symposium on Circuits and Systems10.1109/MWSCAS.2006.382047(261-265)Online publication date: Aug-2006
  • (2006)Inter-Neuron Communications for Large-Scale Neural Networks using Capacitive CouplingThe 2006 IEEE International Joint Conference on Neural Network Proceedings10.1109/IJCNN.2006.247184(2779-2784)Online publication date: 2006

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media