Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/781027.781048acmconferencesArticle/Chapter ViewAbstractPublication PagesmetricsConference Proceedingsconference-collections
Article

Run-time modeling and estimation of operating system power consumption

Published: 10 June 2003 Publication History
  • Get Citation Alerts
  • Abstract

    The increasing constraints on power consumption in many computing systems point to the need for power modeling and estimation for all components of a system. The Operating System (OS) constitutes a major software component and dissipates a significant portion of total power in many modern application executions. Therefore, modeling OS power is imperative for accurate software power evaluation, as well as power management (e.g. dynamic thermal control and equal energy scheduling) in the light of OS-intensive workloads. This paper characterizes the power behavior of a commercial OS across a wide spectrum of applications to understand OS energy profiles and then proposes various models to cost-effectively estimate its run-time energy dissipation. The proposed models rely on a few simple parameters and have various degrees of complexity and accuracy. Experiments show that compared with cycle-accurate full-system simulation, the model can predict cumulative OS energy to within 1% accuracy for a set of benchmark programs evaluated on a high-end superscalar microprocessor. When applied to track run-time OS energy profiles, the proposed routine level OS power model offers superior accuracy than a simpler, flat OS power model, yielding per-routine estimation error of less than 6%. The most striking observation is the strong correlation between power consumption and the instructions per cycle (IPC) during OS routine executions. Since tools and methodology to measure IPC exist on modern microprocessors, the proposed models can estimate OS power for run-time dynamic thermal and energy management.

    References

    [1]
    A. R. Alameldeen and D. A. Wood, Variability in Architectural Simulations of Multi-threaded Workloads, In Proceedings of the International Symposium on High Performance Computer Architecture, 2003.
    [2]
    K Baynes, C. Collins, E. Fiterman, B. Ganesh, P. Lohout, C. Smit, T. B. Zhang and B. Jacob, The Performance and Energy Consumption of Three Embedded Real-Time Operating Systems, In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems, 2001.
    [3]
    F. Bellosa, The Benefits of Event- driven Energy Accounting in Power-sensitive Systems, In Proceedings of 9 th ACM SIGOPS European Workshop, 2000.
    [4]
    R. Berrendorf and B. Mohr, PCL - The Performance Counter Library Version 2. 2, http://www.fz- juelich.de/zam/PCL/, Jan. 2003.
    [5]
    D. Brooks, V. Tiwari and M. Martonosi, Wattch: A Framework for Architectural-level Power Analysis and Optimizations, In Proceedings of the International Symposium on Computer Architecture, 2000.
    [6]
    D. Brooks and M. Martonosi, Dynamic Thermal Management for High-Performance Microprocessors, In Proceedings of the International Symposium on High-Performance Computer Architecture, 2001.
    [7]
    J. W. Chen, M. Dubois and P. Stenström, Integrating Complete-System and User-level Performance/Power Simulators: The SimWattch Approach, In Proceedings of International Symposium on Performance Analysis of Systems and Software, 2003.
    [8]
    R. P. Dick, G. Lakshminarayana, A. Raghunathan and N. K. Jha, Power Analysis of Embedded Operating Systems, In Proceedings of the Design Automation Conference, June 2000.
    [9]
    S. Gurumurthi, A. Sivasubramaniam, M. J. Irwin, N. Vijaykrishnan, M. Kandemir, T. Li and L. K. John, Using Complete Machine Simulation for Software Power Estimation: The SoftWatt Approach, In Proceedings of the International Symposium on High Performance Computer Architecture, 2002.
    [10]
    M. Huang, J. Renau, S. M. Yoo and J. Torrellas, A Framework for Dynamic Energy Efficiency and Temperature Management, In Proceedings of the International Symposium on Microarchitecture, 2000.
    [11]
    Intel Pentium 4 Processors - Manuals, Intel Corporation, 2002.
    [12]
    R. Joseph and M. Martonosi, Run-Time Power Estimation in High Performance Microprocessors, In Proceeding of the International Symposium on Low Power Electronic Device, 2001.
    [13]
    A. R. Lebeck, X. B. Fan, H. Zeng and C. S. Ellis, Power Aware Page Allocation, In Proceedings of International Conference on Architectural Support for Programming Languages and Operating Systems, 2000.
    [14]
    T. Li and L. K. John, Understanding Control Flow Transfer and its Predictability in Java Processing, In Proceedings of International Symposium on Performance Analysis of Systems and Software, 2001.
    [15]
    T. Li, L. K. John, A. Sivasubramaniam, N. Vijaykrishnan and J. Rubio, Understanding and Improving Operating System Effects in Control Flow Prediction, In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems, 2002.
    [16]
    S. Manne, A. Klauser and D. Grunwald, Pipeline Gating: Speculation Control for Energy Reduction, In Proceedings of the International Symposium on Computer Architecture, 1998.
    [17]
    D. Ofelt and J. L. Hennessy, Efficient Performance Prediction for Modern Microprocessors, In Proceedings of the International Conference on Measurement and Modeling of Computer Systems, 2000.
    [18]
    J. Ousterhout, Why aren't Operating Systems Getting Faster as Fast as Hardware?, In Proceedings of the Summer USENIX Conference, 1990.
    [19]
    S. Palacharla, N. P. Jouppi and J. E. Smith, Quantifying the Complexity of Superscalar Processors, CS-TR-1996-1328, University of Wisconsin, Nov. 1996.
    [20]
    "PostgreSQL", http://www.us.postgresql.org/
    [21]
    G. Qu, N. Kawabe, K. Usami and M. Potkonjak, FunctionLevel Power Estimation Methodology for Microprocessors, In Proceedings of the Design Automation Conference, 2000.
    [22]
    M. Rosenblum, S. A. Herrod, E. Witchel and A. Gupta, Complete Computer System Simulation: the SimOS Approach, IEEE Parallel and Distributed Technology: Systems and Applications, vol. 3, no. 4, Winter 1995.
    [23]
    T. Sherwood, E. Perelman, G. Hamerly and B. Calder, Automatically Characterizing Large Scale Program Behavior, In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems, 2002.
    [24]
    A. Sinha, A. Wang and A. P. Chandrakasan, Algorithmic Transforms for Efficient Energy Scalable Computation, In Proceedings of the International Symposium on Low Power Electronics and Design, 2000.
    [25]
    SPEC JVM98 Benchmarks, http://www.spec.org/jvm98/.
    [26]
    T. K. Tan, A. Raghunathan, G. Lakshminarayana and N. K. Jha, High-level Software Energy Macro-modeling, In Proceedings of the Design Automation Conference, 2001.
    [27]
    T. K. Tan, A. Raghunathan and N. Jha, Embedded Operating System Energy Analysis and Macro-modeling, In Proceedings of the International Conference on Computer Design, 2002.
    [28]
    T. K. Tan, A. Raghunathan and N. Jha, EMSIM: An Energy Simulation Framework for an Embedded Operating System, In the Proceedings of the International Conference on Circuits and Systems, 2002.
    [29]
    V. Tiwari, S. Malik, A. Wolfe and M. T. C. Lee, Instruction Level Power Analysis and Optimization of Software, Journal of VLSI Signal Processing, 1--18, 1996.
    [30]
    Transaction Processing Council, The TPC-C Benchmark, http://www.tpc.org/tpcc/.
    [31]
    M. Valluri and L. K. John, Is Compiling for Performance == Compiling for Power?, In Proceedings of the 5th Annual Workshop on Interaction between Compilers and Computer Architectures, 2001.
    [32]
    C. Xia and J. Torrellas, Comprehensive Hardware and Software Support for Operating Systems to Exploit MP Memory Hierarchies, IEEE Transactions on Computers, May 1999.
    [33]
    W. Ye, N. Vijaykrishnan, M. Kandermir and M. J. Irwin, The Design and Use of SimplePower: A Cycle-accurate Energy Estimation Tool, In Proceedings of Design Automation Conference, 2000.
    [34]
    H. Zeng, X. B. Fan, C. Ellis, A. Lebeck and A. Vahdat, ECOSystem: Managing Energy as a First Class Operating System Resource, In the Proceedings of the International Symposium on Architecture Support for Program Language and Operating System, 2002.

    Cited By

    View all
    • (2024)VESTA: Power Modeling with Language Runtime EventsProceedings of the ACM on Programming Languages10.1145/36564028:PLDI(621-646)Online publication date: 20-Jun-2024
    • (2023)Integration and Unit Testing of Software Energy Consumption2023 Tenth International Conference on Software Defined Systems (SDS)10.1109/SDS59856.2023.10329262(60-64)Online publication date: 23-Oct-2023
    • (2022)ThermalBleed: A Practical Thermal Side-Channel AttackIEEE Access10.1109/ACCESS.2022.315659610(25718-25731)Online publication date: 2022
    • Show More Cited By

    Index Terms

    1. Run-time modeling and estimation of operating system power consumption

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      SIGMETRICS '03: Proceedings of the 2003 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
      June 2003
      338 pages
      ISBN:1581136641
      DOI:10.1145/781027
      • cover image ACM SIGMETRICS Performance Evaluation Review
        ACM SIGMETRICS Performance Evaluation Review  Volume 31, Issue 1
        June 2003
        325 pages
        ISSN:0163-5999
        DOI:10.1145/885651
        Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 10 June 2003

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. low power
      2. operating system
      3. power estimation

      Qualifiers

      • Article

      Conference

      SIGMETRICS03
      Sponsor:

      Acceptance Rates

      SIGMETRICS '03 Paper Acceptance Rate 26 of 222 submissions, 12%;
      Overall Acceptance Rate 459 of 2,691 submissions, 17%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)60
      • Downloads (Last 6 weeks)9
      Reflects downloads up to 27 Jul 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)VESTA: Power Modeling with Language Runtime EventsProceedings of the ACM on Programming Languages10.1145/36564028:PLDI(621-646)Online publication date: 20-Jun-2024
      • (2023)Integration and Unit Testing of Software Energy Consumption2023 Tenth International Conference on Software Defined Systems (SDS)10.1109/SDS59856.2023.10329262(60-64)Online publication date: 23-Oct-2023
      • (2022)ThermalBleed: A Practical Thermal Side-Channel AttackIEEE Access10.1109/ACCESS.2022.315659610(25718-25731)Online publication date: 2022
      • (2022)System Energy Consumption MeasurementDeveloping Sustainable and Energy-Efficient Software Systems10.1007/978-3-031-11658-2_3(27-38)Online publication date: 27-Jul-2022
      • (2021)Immersive Robotic Telepresence for Remote Educational ScenariosSustainability10.3390/su1309471713:9(4717)Online publication date: 23-Apr-2021
      • (2019)Linear Power Modeling for Cloud Data Centers: Taxonomy, Locally Corrected Linear Regression, Simulation Framework and EvaluationIEEE Access10.1109/ACCESS.2019.29568817(175003-175019)Online publication date: 2019
      • (2019)Predictions and Modeling Energy Consumption for IT Data CenterAdvanced Intelligent Systems for Sustainable Development (AI2SD’2018)10.1007/978-3-030-12065-8_1(1-11)Online publication date: 5-Feb-2019
      • (2018)An energy-efficient low-memory image compression system for multimedia IoT productsEURASIP Journal on Image and Video Processing10.1186/s13640-018-0333-32018:1Online publication date: 17-Sep-2018
      • (2018)Modular Energy Modeling using Energy/UtilityCompanion of the 2018 ACM/SPEC International Conference on Performance Engineering10.1145/3185768.3186311(73-78)Online publication date: 2-Apr-2018
      • (2017)Power Consumption Models for Multi-Tenant Server InfrastructuresACM Transactions on Architecture and Code Optimization10.1145/314896514:4(1-22)Online publication date: 14-Nov-2017
      • Show More Cited By

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media