Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/871506.871545acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization

Published: 25 August 2003 Publication History
  • Get Citation Alerts
  • Abstract

    We describe an optimization strategy for minimizing total power consumption using dual threshold voltage (Vth) technology. Significant power savings are possible by simultaneous assignment of Vth with gate sizing. We propose an efficient algorithm based on linear programming that jointly performs Vth assignment and gate sizing to minimize total power under delay constraints. First, linear programming assigns the optimal amounts of slack to gates based on power-delay sensitivity. Then, an optimal gate configuration, in terms of Vth and transistor sizes, is selected by an exhaustive local search. Benchmark results for the algorithm show 32% reduction in power consumption on average, compared to sizing only power minimization. There is up to a 57% reduction for some circuits. The flow can be extended to dual supply voltage libraries to yield further power savings.

    References

    [1]
    Brodersen, R., et al, "Methods for True Power Minimization," International Conference on Computer-Aided Design, 2002, pp. 35--40.
    [2]
    Chen, C., and Sarrafzadeh, M., "An Effective Algorithm for Gate-Level Power-Delay Tradeoff Using Two Voltages." International Conference on Computer Design, 1999, pp. 222 -- 227.
    [3]
    Fishburn, J.P., and Dunlop, A.E., "TILOS: A Posynomial Programming Approach to Transistor Sizing," International Conference on Computer-Aided Design, 1985, pp. 326--328.
    [4]
    Kao J., Narendra, S., Chandrakasan A., "Subthreshold Leakage Modeling and Reduction Techniques," International Conference on Computer-Aided Design, 2002, pp. 141--149.
    [5]
    Karnik, T., "Total Power Optimization By Simultaneous Dual-Vt Allocation and Device Sizing in High Performance Microprocessors," Design Automation Conference, 2002, pp. 486--491.
    [6]
    Kim, C. and Roy, K., "Dynamic VTH Scaling Scheme for Active Leakage Power Reduction", Design and Test European Conference, 2002, pp. 163--167.
    [7]
    Nair, R., Berman, C.L., Hauge, P.S., Yoffa, E.J., "Generation of Performance Constraints for Layout," IEEE Trans. on Computer-Aided Design, Vol. 8 No. 8, August 1989, pp. 860--874.
    [8]
    Pant, P., Roy, R., and Chatterjee, A., "Dual-Threshold Voltage Assignment with Transistor Sizing for Low Power CMOS Circuits," IEEE Trans. on VLSI, Vol. 9, No. 2, 4, 2001, pp. 390--394.
    [9]
    Shrivastava A., and Sylvester D., "Minimizing Total Power by Simultaneous Vdd/Vth Assignment", to appear at Asia and Pacific Design Automation Conference, 2003.
    [10]
    Sirichotiyakul, S., et al, "Stand-by power minimization through simultaneous threshold voltage selection and circuit sizing," Design Automation Conference, 1999, pp. 436--441.
    [11]
    Wang, Q., and Vrudhula, S., "Static power optimization of deep submicron CMOS circuit for dual Vt technology," International Conference on Computer-Aided Design, 1998, pp. 490--496.
    [12]
    Wei, L., et al, "Mixed-Vth (MVT) CMOS circuit design methodology for low power applications," Design Automation Conference, 1999, pp. 430--435.

    Cited By

    View all
    • (2021)Incremental Lagrangian Relaxation Based Discrete Gate Sizing and Threshold Voltage AssignmentTechnologies10.3390/technologies90400929:4(92)Online publication date: 26-Nov-2021
    • (2016)Multiple On-Chip Power Supply SystemsOn-Chip Power Delivery and Management10.1007/978-3-319-29395-0_40(603-617)Online publication date: 27-Apr-2016
    • (2015)Fast Lagrangian Relaxation Based Gate Sizing using Multi-ThreadingProceedings of the IEEE/ACM International Conference on Computer-Aided Design10.5555/2840819.2840879(426-433)Online publication date: 2-Nov-2015
    • Show More Cited By

    Index Terms

    1. Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISLPED '03: Proceedings of the 2003 international symposium on Low power electronics and design
      August 2003
      502 pages
      ISBN:158113682X
      DOI:10.1145/871506
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 25 August 2003

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. dual supply voltage
      2. dual threshold
      3. simultaneous
      4. sizing

      Qualifiers

      • Article

      Conference

      ISLPED03
      Sponsor:

      Acceptance Rates

      ISLPED '03 Paper Acceptance Rate 90 of 221 submissions, 41%;
      Overall Acceptance Rate 398 of 1,159 submissions, 34%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)9
      • Downloads (Last 6 weeks)1
      Reflects downloads up to 12 Aug 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2021)Incremental Lagrangian Relaxation Based Discrete Gate Sizing and Threshold Voltage AssignmentTechnologies10.3390/technologies90400929:4(92)Online publication date: 26-Nov-2021
      • (2016)Multiple On-Chip Power Supply SystemsOn-Chip Power Delivery and Management10.1007/978-3-319-29395-0_40(603-617)Online publication date: 27-Apr-2016
      • (2015)Fast Lagrangian Relaxation Based Gate Sizing using Multi-ThreadingProceedings of the IEEE/ACM International Conference on Computer-Aided Design10.5555/2840819.2840879(426-433)Online publication date: 2-Nov-2015
      • (2015)Lookup Table Based Discrete Gate Sizing for Delay Minimization with Modified Elmore Delay ModelProceedings of the 25th edition on Great Lakes Symposium on VLSI10.1145/2742060.2742094(361-366)Online publication date: 20-May-2015
      • (2015)Sizing Digital Circuits Using Convex Optimization TechniquesComputational Intelligence in Digital and Network Designs and Applications10.1007/978-3-319-20071-2_1(3-32)Online publication date: 15-Jul-2015
      • (2014)A Hybrid Technique for Discrete Gate Sizing Based on Lagrangian RelaxationACM Transactions on Design Automation of Electronic Systems10.1145/264795619:4(1-25)Online publication date: 29-Aug-2014
      • (2013)Fast and efficient lagrangian relaxation-based discrete gate sizingProceedings of the Conference on Design, Automation and Test in Europe10.5555/2485288.2485725(1855-1860)Online publication date: 18-Mar-2013
      • (2013)Discrete sizing for leakage power optimization in physical designACM Transactions on Design Automation of Electronic Systems10.1145/2390191.239020618:1(1-11)Online publication date: 16-Jan-2013
      • (2013)Random Process Variation in Deep-Submicron CMOSStochastic Process Variation in Deep-Submicron CMOS10.1007/978-94-007-7781-1_2(17-54)Online publication date: 14-Nov-2013
      • (2011)3D area-aware partitioning for floorplannerProceedings of the 5th WSEAS international conference on Circuits, systems and signals10.5555/2028256.2028264(35-38)Online publication date: 14-Jul-2011
      • Show More Cited By

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media