Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/996566.996706acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

On test generation for transition faults with minimized peak power dissipation

Published: 07 June 2004 Publication History

Abstract

This paper presents a method of generating tests for transition faults using tests for stuck-at faults such that the peak power is the minimum possible using a given set of tests for stuck-at faults. The proposed method is suitable for use in testing scan designs that employ enhanced scan. The method reduces the peak power consumption in benchmark circuits by 19% on the average with essentially the same test set size and the same fault coverage compared to an earlier method.

References

[1]
J. A. Waicukauski, E. Lindbloom, B. K. Rosen and V. S. Iyengar, "Transition Fault Simulation", IEEE Design & Test of Computers, Vol. 4, No. 2, April 1987.
[2]
A. K. Pramanick and S. M. Reddy, "On the Detection of Delay Faults", Proc. ITC, pp. 845--856, Sept. 1988.
[3]
G. L. Smith, "Model for Delay Faults Based Upon Paths", Proc. ITC, pp. 342--349, Sept. 1985.
[4]
C. J. Lin and S. M. Reddy, "On Delay Fault Testing in Logic Circuits", IEEE TCAD, pp. 694--703, Sept. 1985.
[5]
I. Pomeranz and S. M. Reddy, "Static Compaction for Two- Pattern Test Sets", Proc. ATS, pp. 222--228, 1995.
[6]
X. Liu, M. S. Hsiao, S. Chakravarty and P. J. Thadikaran, "Novel ATPG Algorithms for Transition Faults", Proc. ETW, pp. 47--52, May 2002.
[7]
H. J. Wunderlich and Y. Zorian, "Built-In Self Test (BIST) Synthesis of Self-Testable Systems", Kluwer Academic Publisher, 1997.
[8]
Y. Zorian, "A Distributed BIST Control Scheme for Complex VLSI Devices", Proc. VTS, pp. 4--9, 1993.
[9]
J. Saxena, et. al., "A Case Study of IR-Drop in Structured At-Speed Testing", Proc. ITC, 2003. pp.1098--1104.
[10]
V. Dabholkar, S. Chakravarty, I. Pomeranz and S. M. Reddy, "Techniques for Minimizing Power Dissipation in Scan and Combinational Circuits During Test Application", IEEE TCAD, pp. 1325--1333, 1998.
[11]
S. Gerstendorfer and H. J. Wunderlich, "Minimized Power Consumption for Scan-based BIST", Proc. ITC, pp. 77--84, 1999.
[12]
I. Pomeranz and S.M. Reddy, "On n-Detection Test Sets and Variable n-Detection Test Sets for Transition Faults", IEEE TCAD, March 2000, pp. 372--383.
[13]
I. Pomeranz and S. M. Reddy, "Forward-Looking Fault Simulation for Improved Static Compaction", IEEE TCAD, pp. 1262--1265, 2001.
[14]
J. Savir and S. Patil, "On Broad-Side Delay Test", Proc. VTS, pp. 284--290, Sept. 1994.
[15]
J. Savir and S. Patil, "Scan-Based Transition Test", IEEE TCAD, pp. 1232--1241, August 1993.
[16]
B. Dervisoglu and G. Stong, "Design for Testability: Using Scanpath Techniques for Path-Delay Test and Measurement", Proc. ITC, pp.365--374, 1991.
[17]
T. H. Cormen, C. E. Leiserson and R. L. Rivest, "Introduction to Algorithms", MIT Press and McGraw-Hill Book Company, 6th edition, 1992.
[18]
W. Cook, W. H. Cunningham, W. Pulleyblank and A. Schrijver, "Combinatorial Optimization", John Wiley & Sons, 1998.
[19]
S. Kajihara, I. Pomeranz, K. Kinoshita and S. M. Reddy, "Cost-Effective Generation of Minimum Test Sets for Stuck-at Faults in Combinational Logic Circuits", IEEE TCAD, pp. 1496--1504, Dec. 1995.

Cited By

View all
  • (2020)Switching Activity of Faulty Circuits in Presence of Multiple Transition FaultsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2019.290232639:4(936-945)Online publication date: Apr-2020
  • (2018)Postprocessing Procedure for Reducing the Faulty Switching Activity of a Low-Power Test Set2018 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)10.1109/DFT.2018.8602967(1-6)Online publication date: Oct-2018
  • (2016)On the Switching Activity in Faulty Circuits During Test Application2016 IEEE 25th Asian Test Symposium (ATS)10.1109/ATS.2016.12(13-18)Online publication date: Nov-2016
  • Show More Cited By

Index Terms

  1. On test generation for transition faults with minimized peak power dissipation

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      DAC '04: Proceedings of the 41st annual Design Automation Conference
      June 2004
      1002 pages
      ISBN:1581138288
      DOI:10.1145/996566
      • General Chair:
      • Sharad Malik,
      • Program Chairs:
      • Limor Fix,
      • Andrew B. Kahng
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 07 June 2004

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. power dissipation
      2. test generation
      3. transition faults

      Qualifiers

      • Article

      Conference

      DAC04
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

      Upcoming Conference

      DAC '25
      62nd ACM/IEEE Design Automation Conference
      June 22 - 26, 2025
      San Francisco , CA , USA

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)0
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 13 Sep 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2020)Switching Activity of Faulty Circuits in Presence of Multiple Transition FaultsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2019.290232639:4(936-945)Online publication date: Apr-2020
      • (2018)Postprocessing Procedure for Reducing the Faulty Switching Activity of a Low-Power Test Set2018 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)10.1109/DFT.2018.8602967(1-6)Online publication date: Oct-2018
      • (2016)On the Switching Activity in Faulty Circuits During Test Application2016 IEEE 25th Asian Test Symposium (ATS)10.1109/ATS.2016.12(13-18)Online publication date: Nov-2016
      • (2014)Low-power skewed-load tests based on functional broadside testsACM Transactions on Design Automation of Electronic Systems10.1145/256666419:2(1-18)Online publication date: 28-Mar-2014
      • (2014)Low-Power Test Generation by Merging of Functional Broadside Test CubesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2013.227503722:7(1570-1582)Online publication date: Jul-2014
      • (2013)Low-power test sets under test-related primary input constraintsInternational Journal of Critical Computer-Based Systems10.1504/IJCCBS.2013.0583964:3(265-279)Online publication date: 1-Dec-2013
      • (2013)Functional Broadside Templates for Low-Power Test GenerationIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2012.222851021:12(2321-2325)Online publication date: 1-Dec-2013
      • (2013)Signal-Transition Patterns of Functional Broadside TestsIEEE Transactions on Computers10.1109/TC.2012.14162:12(2544-2549)Online publication date: 1-Dec-2013
      • (2013)An Adjacent Switching Activity Metric under Functional Broadside TestsIEEE Transactions on Computers10.1109/TC.2011.22462:2(404-410)Online publication date: 1-Feb-2013
      • (2013)Observation-Oriented ATPG and Scan Chain Disabling for Capture Power ReductionJournal of Electronic Testing: Theory and Applications10.1007/s10836-013-5404-x29:5(625-634)Online publication date: 1-Oct-2013
      • Show More Cited By

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media