Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Ibex: an intelligent storage engine with support for advanced SQL offloading

Published: 01 July 2014 Publication History

Abstract

Modern data appliances face severe bandwidth bottlenecks when moving vast amounts of data from storage to the query processing nodes. A possible solution to mitigate these bottlenecks is query off-loading to an intelligent storage engine, where partial or whole queries are pushed down to the storage engine. In this paper, we present Ibex, a prototype of an intelligent storage engine that supports off-loading of complex query operators. Besides increasing performance, Ibex also reduces energy consumption, as it uses an FPGA rather than conventional CPUs to implement the off-load engine. Ibex is a hybrid engine, with dedicated hardware that evaluates SQL expressions at line-rate and a software fallback for tasks that the hardware engine cannot handle. Ibex supports GROUP BY aggregation, as well as projection- and selection- based filtering. GROUP BY aggregation has a higher impact on performance but is also a more challenging operator to implement on an FPGA.

References

[1]
A. Arasu et al. Orthogonal Security with Cipherbase. In Proc. 6th CIDR, Asilomar, CA, USA, 2013.
[2]
R. Bittner. The Speedy DDR2 Controller For FPGAs. In Proc. ERSA, pages 205--211, Las Vegas, NV, USA, 2009.
[3]
P. Boncz, M. Zukowski, and N. Nes. MonetDB/X100: Hyper-Pipelining Query Execution. In Proc. 2nd CIDR, pages 225--237, Asilomar, CA, USA, 2005.
[4]
S. Borkar and A. Chien. The Future of Microprocessors. Commun. ACM, 54(5), 2011.
[5]
C. Computer. Convey HC-2, 2012. http://www.conveycomputer.com.
[6]
C. Dennl, D. Ziener, and J. Teich. On-the-fly Composition of FPGA-Based SQL Query Accelerators Using a Partially Reconfigurable Module Library. In Proc. 20th FCCM, pages 45--52, Toronto, ON, Canada, 2012.
[7]
C. Dennl, D. Ziener, and J. Teich. Acceleration of SQL Restrictions and Aggregations through FPGA-Based Dynamic Partial Reconfiguration. In Proc. 21st FCCM, pages 25--28, Seattle, WA, USA, 2013.
[8]
D. Dewitt. DIRECT---A Multiprocessor Organization for Supporting Relational Database Management Systems. IEEE Trans. on Comp., 28(6): 395--406, 1979.
[9]
D. Dewitt et al. The Gamma Database Machine Project. IEEE Trans. on Knowl. and Data Eng., 2(1): 44--62, 1990.
[10]
U. Dhawan and A. DeHon. Area-Efficient Near-Associative Memories on FPGAs. In Proc. 21st FPGA, pages 191--200, Monterey, California, USA, 2013.
[11]
J. Do et al. Query Processing on Smart SSDs: Opportunities and Challenges. In Proc. SIGMOD, pages 1221--1230, New York, NY, USA, 2013.
[12]
K. Eguro. SIRC: An Extensible Reconfigurable Computing Communication API. In Proc. 18th FCCM, pages 135--138, Charlotte, NC, USA, 2010.
[13]
B. Gold et al. Accelerating Database Operations Using a Network Processor. In Proc. 1st DaMoN, Baltimore, MD, USA, 2005.
[14]
N. Govindaraju et al. GPUTeraSort: High-Performance Graphics Co-Processor Sorting for Large Database Management. In Proc. SIGMOD, pages 325--336, Chicago, IL, USA, 2006.
[15]
G. Graefe. Volcano---An Extensible and Parallel Query Evaluation System. IEEE Trans. on Knowl. and Data Eng., 6(1): 120--135, 1994.
[16]
B. He et al. Relational Joins on Graphics Processors. In Proc. SIGMOD, pages 511--524, Vancouver, BC, USA, 2008.
[17]
IBM/Netezza. The Netezza Data Appliance Architecture: A Platform for High Performance Data Warehousing and Analytics, 2011. White paper: http://www.redbooks.ibm.com/abstracts/redp4725.html.
[18]
Z. István et al. A Flexible Hash Table Design for 10GBPs Key-Value Stores in FPGAs. In Proc. 23rd FPL, pages 1--8, Porto, Portugal, 2013.
[19]
Z. István, L. Woods, and G. Alonso. Histograms as a Side Effect of Data Movement for Big Data. In Proc. SIGMOD, Snowbird, UT, USA, 2014.
[20]
R. Moussalli et al. Accelerating XML Query Matching through Custom Stack Generation on FPGAs. In Proc. 5th HiPEAC, pages 141--155, Pisa, Italy, 2010.
[21]
R. Müller, J. Teubner, and G. Alonso. Streams on Wires---A Query Compiler for FPGAs. PVLDB, 2(1): 229--240, 2009.
[22]
M. Najafi, M. Sadoghi, and H.-A. Jacobsen. Flexible Query Processor on FPGAs. PVLDB, 6(12): 1310--1313, 2013.
[23]
Oracle. A Technical Overview of the Oracle Exadata Database Machine and Exadata Storage Server, 2012. White paper: http://www.oracle.com/technetwork/database/exadata/exadata-technical-whitepaper-134575.pdf.
[24]
M. Sadoghi et al. Efficient Event Processing through Reconfigurable Hardware for Algorithmic Trading. PVLDB, 3(2): 1525--1528, 2010.
[25]
M. Sadoghi et al. Multi-Query Stream Processing on FPGAs. In Proc. 28th ICDE, pages 1229--1232, 2012.
[26]
A. Shatdal, C. Kant, and J. Naughton. Cache Conscious Algorithms for Relational Query Processing. In Proc. 20th VLDB, pages 510--521, Santiago de Chile, Chile, 1994.
[27]
I. Sourdis et al. A Reconfigurable Perfect-Hashing Scheme For Packet Inspection. In Proc. 15th FPL, pages 644--647, 2005.
[28]
B. Sukhwani et al. Database Analytics Acceleration using FPGAs. In Proc. 21st PACT, pages 411--420, Minneapolis, MN, USA, 2012.
[29]
T. Takenaka, M. Takagi, and H. Inoue. A Scalable Complex Event Processing Framework for Combination of SQL-based Continuous Queries and C/C++ Functions. In Proc. 22nd FPL, pages 237--242, Oslo, Norway, 2012.
[30]
J. Teubner, L. Woods, and C. Nie. Skeleton Automata: Reconfiguring without Reconstructing. In Proc. SIGMOD, pages 229--240, Scottsdale, AZ, USA, 2012.
[31]
T. Thinh, S. Kittitornkun, and S. Tomiyama. Applying Cuckoo Hashing for FPGA-based Pattern Matching in NIDS/NIPS. In ICFPT, pages 121--128, 2007.
[32]
P. Vaidya et al. Symbiote: A Reconfigurable Logic Assisted data Stream Management System (RLADSMS). In Proc. SIGMOD, pages 1147--1150, Indianapolis, IN, USA, 2010.
[33]
L. Woods and K. Eguro. Groundhog---A Serial ATA Host Bus Adapter (HBA) for FPGAs. In Proc. 20th FCCM, pages 220--223, 2012.
[34]
L. Woods, Z. István, and G. Alonso. Hybrid FPGA-accelerated SQL Query Processing. In Proc. 23rd FPL, page 1, Porto, Portugal, 2013.
[35]
L. Woods, J. Teubner, and G. Alonso. Less Watts, More Performance: An Intelligent Storage Engine for Data Appliances. In Proc. SIGMOD, pages 1073--1076, New York, NY, USA, 2013.
[36]
W. Yan and P.-A. Larson. Eager Aggregation and Lazy Aggregation. In Proc. 21th VLDB, pages 345--357, Zurich, Switzerland, 1995.
[37]
Y.-H. Yang, W. Jiang, and V. Prasanna. Compact Architecture for High-Throughput Regular Expression Matching on FPGA. In Proc. ANCS, 2008.

Cited By

View all
  • (2023)λ-IOProceedings of the 21st USENIX Conference on File and Storage Technologies10.5555/3585938.3585960(347-362)Online publication date: 21-Feb-2023
  • (2023)Deploying Computational Storage for HTAP DBMSs Takes More Than Just Computation OffloadingProceedings of the VLDB Endowment10.14778/3583140.358316116:6(1480-1493)Online publication date: 20-Apr-2023
  • (2023)ISP Agent: A Generalized In-storage-processing Workload Offloading Framework by Providing Multiple Optimization OpportunitiesACM Transactions on Architecture and Code Optimization10.1145/363295121:1(1-24)Online publication date: 14-Nov-2023
  • Show More Cited By

Index Terms

  1. Ibex: an intelligent storage engine with support for advanced SQL offloading
      Index terms have been assigned to the content through auto-classification.

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image Proceedings of the VLDB Endowment
      Proceedings of the VLDB Endowment  Volume 7, Issue 11
      July 2014
      92 pages
      ISSN:2150-8097
      Issue’s Table of Contents

      Publisher

      VLDB Endowment

      Publication History

      Published: 01 July 2014
      Published in PVLDB Volume 7, Issue 11

      Qualifiers

      • Research-article

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)74
      • Downloads (Last 6 weeks)4
      Reflects downloads up to 12 Sep 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2023)λ-IOProceedings of the 21st USENIX Conference on File and Storage Technologies10.5555/3585938.3585960(347-362)Online publication date: 21-Feb-2023
      • (2023)Deploying Computational Storage for HTAP DBMSs Takes More Than Just Computation OffloadingProceedings of the VLDB Endowment10.14778/3583140.358316116:6(1480-1493)Online publication date: 20-Apr-2023
      • (2023)ISP Agent: A Generalized In-storage-processing Workload Offloading Framework by Providing Multiple Optimization OpportunitiesACM Transactions on Architecture and Code Optimization10.1145/363295121:1(1-24)Online publication date: 14-Nov-2023
      • (2023)Baldur: A Hybrid Blockchain Database with FPGA or GPU AccelerationProceedings of the 1st Workshop on Verifiable Database Systems10.1145/3595647.3595650(19-27)Online publication date: 23-Jun-2023
      • (2023)KeRRaS: Sort-Based Database Query Processing on Wide Tables Using FPGAsProceedings of the 19th International Workshop on Data Management on New Hardware10.1145/3592980.3595300(1-9)Online publication date: 18-Jun-2023
      • (2023)Profiling Hyperscale Big Data ProcessingProceedings of the 50th Annual International Symposium on Computer Architecture10.1145/3579371.3589082(1-16)Online publication date: 17-Jun-2023
      • (2023)Non-relational Databases on FPGAs: Survey, Design Decisions, ChallengesACM Computing Surveys10.1145/356899055:11(1-37)Online publication date: 9-Feb-2023
      • (2023)TH-iSSD: Design and Implementation of a Generic and Reconfigurable Near-Data Processing FrameworkACM Transactions on Embedded Computing Systems10.1145/356345622:6(1-23)Online publication date: 9-Nov-2023
      • (2023)A Study of Early Aggregation in Database Query Processing on FPGAsProceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays10.1145/3543622.3573194(55-65)Online publication date: 12-Feb-2023
      • (2022)Hardware acceleration of compression and encryption in SAP HANAProceedings of the VLDB Endowment10.14778/3554821.355482215:12(3277-3291)Online publication date: 1-Aug-2022
      • Show More Cited By

      View Options

      Get Access

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media