Proceedings of Spie the International Society For Optical Engineering, Jul 1, 2002
Due to miniaturization of semiconductor devices, ArF (193nm) lithography is likely expected to be... more Due to miniaturization of semiconductor devices, ArF (193nm) lithography is likely expected to be used for sub 100nm regime. For sub 100nm devices, high NA (>=0.70) exposure tools and various strong off-axis illumination (OAI) conditions should be used. But unlike KrF (248nm) lithography, resist pattern collapse becomes one of the most serious problems in ArF lithography. In order to solve pattern collapse problem, thin resist process is generally introduced but its poor etch resistance is an obstacle for being applied in real production process. Due to this reason, new kinds of organic BARC materials are investigated and optimized to avoid pattern collapse. As mentioned, the most important issue in ArF organic BARC is believed to be the pattern collapse problem. A number of organic BARCs were made by varying polymer, cross-linker, thermal acid generator, and additive. We tried to analyze the key factor in terms of pattern collapse. This paper is to compare the various elements of the organic BARC formulation and to discuss what brings and causes pattern collapse.
Advances in Resist Materials and Processing Technology XXVIII, 2011
ABSTRACT From the perspectives of IC fabrication simplification, cost reduction, and waste materi... more ABSTRACT From the perspectives of IC fabrication simplification, cost reduction, and waste material cutback, it is highly desirable to combine the traditional pattern formation step (lithographical processes) and the pattern transfer step (etch processes) into a single step. Photo-imageable spin-on dielectrics (PSOD) render it possible to achieve the aforementioned goal. However, the bestowed dual functionalities on PSOD put great challenges on the material design and development. PSOD needs not only to match all the performances of the advanced resists, but also to undertake all the duties of the dielectrics on the chips. We wish to report our modular approach employing Si-containing materials to address the challenge and to meet the requirements from the different material roles. This paper will also discuss the investigation and progress on lithographic performance, cure behaviors, thermal stability, and electrical and mechanical properties.
Thin imaging systems have the potential for excellent lithographic performance and good etch prop... more Thin imaging systems have the potential for excellent lithographic performance and good etch properties. In such systems, the optical absorption of the undercoat and of the imaging layer can be adjusted through formulation and chemistry modifications. As the substrate underneath the resist undercoat changes, the optimal k for the undercoat will change. The reflectivity of the underlayer resist interface will
The effect of scanning electron microscope (SEM) measurements on the dimensions of resist feature... more The effect of scanning electron microscope (SEM) measurements on the dimensions of resist features was studied for 193nm resist materials. Initial measurements showed that resist lines became smaller as they were repeatedly measured, with size changes of up to 40 to 50 nm after 50 to a 100 measurements. There was a significant size change for the two 193nm resist
The stringent requirements facing modern chemically amplified photoresists and antireflective coa... more The stringent requirements facing modern chemically amplified photoresists and antireflective coatings make computer physical simulation methods a valuable tool for photoresist and ARC research and design. Hypothetical microlithographic processes involving toolsets that are unavailable to the experimenter may be evaluated. Complex photoresist physical reaction phenomena, often difficult to measure experimentally, may be evaluated within the limits of the mathematical models used. This work details the mechanics and application of a custom simulation tool written for the modeled study of reactive soluble ARCs (DBARCs), soluble ARCs, and photoresist-ARC interactions - phenomena not readily modeled by commercially available software at the time of this paper. Photoresist and ARC interactions are modeled by computing two-dimensional composite diffusion and reaction. Soluble ARCs, either reactive (DBARCs) or nonreactive, are modeled using composite diffusion, a full level-set front track...
Advances in Resist Technology and Processing XIV, 1997
ABSTRACT The synthesis, characterization, and lithographic evaluation of a polyhydroxystyrene (PH... more ABSTRACT The synthesis, characterization, and lithographic evaluation of a polyhydroxystyrene (PHS) modified with isopropyloxycarbonate groups is described. The inert blocking group is attached to the hydroxyl sites on PHS resin to slow the dissolution rate and make the resin useful in resists designed for 0.263 N TMAH developers. A negative tone resist (CGR-IP) that is formulated with the modified polymer is compatible with the industry standard 0.263 N TMAH developer and is capable of resolving 0.22 micrometer L/S features and 0.14 micrometer isolated lines on a 0.50 NA imaging system. Reaction with PHS resin occurs primarily at the phenolic sites as shown by carbon-13 NMR and 10% protection is sufficient to lower the dissolution rate to an acceptable level so that there is less than 50 angstrom film loss in exposed areas. The blocking group described here is not acid labile and reaming intact after the resist film is baked at 150 degrees Celsius. Bibtex entry for this abstract Preferred format for this abstract (see Preferences) Find Similar Abstracts: Use: Authors Title Abstract Text Return: Query Results Return items starting with number Query Form Database: Astronomy Physics arXiv e-prints
Advances in Resist Materials and Processing Technology XXX, 2013
ABSTRACT As feature sizes continue to shrink, the need for new materials and processes becomes mo... more ABSTRACT As feature sizes continue to shrink, the need for new materials and processes becomes more urgent. In order to achieve high-resolution patterns and low line edge roughness (LER), there have been many studies on small molecular resists. In terms of processes, there have been growing interests in negative-tone development because of its better performance in printing narrow trenches and contact holes. As new patterning materials, we have synthesized inorganic nanoparticle resists that consist of a metal oxide (HfO2 or ZrO2) core surrounded by organic ligands. The inorganic core provides high etch-resistance while the organic ligands give the resists photochemical functionality. Because of their high etch-resistance, thin films of these nanoparticle photoresists are sufficient to provide good pattern transfer to the substrate and eliminate problems such as pattern collapse. Negative-tone patterning of these nanoparticle photoresists can be achieved by using an organic solvent. The small sizes (1-3nm) of these nanoparticle resists can also enable high-resolution patterning and have the potential to reduce LER. We have successfully shown negative-tone patterning of these nanoparticle resists with features as small as 30 nm using both e-beam and EUV lithography and this paper seeks to study the NTD results with different negative-tone developers.
ABSTRACT This paper demonstrates a new simulation-based methodology for optimizing critical dimen... more ABSTRACT This paper demonstrates a new simulation-based methodology for optimizing critical dimension (CD) bias for contact holes (CH) arrays using several different extreme ultraviolet (EUV) resists that were fully calibrated and verified with physical resist models. The bias for CH was optimized using local CD uniformity (CDU) 3-sigma as a cost function. The CD sigma variations of near-neighbor contact holes were simulated as a function of dose-to-size and mask bias, averaged over a large number of stochastic trials. There is a distinct bias for minimum CD sigma accompanied by an increase in the process window. The results are confirmed with wafer data. We will discuss the results in terms of EUV photon shot noise coupled with resist parameters. The simulation results will be used to predict a parameter space for EUV resist that can optimize line edge roughness (LER)/resolution/process window and CDU. Finally, various tradeoffs will be presented that will enable the process to perform in a high volume manufacturing environment.
ABSTRACT Magnification of mask dimensional error is examined and quantified in terms of the mask ... more ABSTRACT Magnification of mask dimensional error is examined and quantified in terms of the mask error factor (MEF) for line and hole patterns on three types of masks: chrome-on-glass (COG), attenuated phase-shifting mask (PSM) and alternating PSM. The MEF is unity for large features, but increases rapidly when the critical dimension (CD) is less than 0.5 (lambda) /NA for line-space patterns and 0.75 (lambda) /NA for contacts. In general dark-field spaces exhibit higher sensitivity to mask dimensional error than light-field lines. Sensitivity of attenuated PSMs is similar to COG masks, even for applications in which attenuated PSMs provide benefits in process latitude. Alternating PSMs have the lowest MEF values. Although the MEF has only a slight dependence on feature nesting for contacts, dense lines and spaces exhibit markedly higher MEF values than isolated features. The MEF of a 0.35 (lambda) /NA isolated line is 1.6 whereas that of a dense line of the same dimension is 4.3 illumination is effective in reducing the mask error sensitivity of dense lines. Dose variation causes changes in the MEF of contacts but has little effect on line-space features; focus error degrades (increases the value of) the MEF of both pattern types. A high diffusion and low contrast photoresist process also worsens the MEF. Consequences of mask CD error amplification include tightening of mask specification, design grid reduction, shift in optimal mask bias and enhanced defect printability.
Advances in Resist Materials and Processing Technology XXIV, 2007
We report about the development of novel nanocomposite resists that incorporate colloidal silica ... more We report about the development of novel nanocomposite resists that incorporate colloidal silica nanoparticles into conventional resist materials to yield thick coatings with both excellent lithographic properties and significantly increased plasma etch resistance. 10-50 wt% silica nanoparticles of 10-15 nm in size were dispersed homogeneously in a variety of standard resist resins by a simple process. The nanocomposite resists have
Journal of vacuum science & technology. B, Microelectronics and nanometer structures: processing, measurement, and phenomena: an official journal of the American Vacuum Society
Electrical measurement techniques and aerial image simulation were used to quantify the lithograp... more Electrical measurement techniques and aerial image simulation were used to quantify the lithographic benefits of an IBM hydrogenated amorphous carbon attenuated phase shifting mask for 0.25 and 0.2 μm features. Both a chrome and attenuated amorphous carbon blank were patterned with electrically testable structures consisting of varying dimensions and pitch. Detailed characterization was performed on the blanks to confirm phase, transmission, and dimensional accuracy. Process window characterization as a function of image biasing and pitch were evaluated using SPLAT simulations and electrical measurements for SVGL Micrascan II exposures combined with exposure‐defocus analysis techniques. The electrical linewidth data showed a 12.5% reduction of depth‐of‐focus corresponding to a 25 nm offset from optimum bias, demonstrating the importance of accurate mask biasing. Electrical measurements structures were patterned on doped polysilicon films using APEX‐E resist. Exposure latitude enhanc...
Advances in Resist Materials and Processing Technology XXV, 2008
We will discuss our recent results using a second generation radiation sensitive developable 193 ... more We will discuss our recent results using a second generation radiation sensitive developable 193 Bottom Antireflective coatings (DBARCs). These DBARC materials are made solvent resistant the application of a resist coating on top of them through a crosslinking mechanism that is reversible by acid catalyzed reaction upon exposure of the DBARC/resist stack. Typically this is done by crosslinking a copolymer
Proceedings of Spie the International Society For Optical Engineering, Jul 1, 2002
Due to miniaturization of semiconductor devices, ArF (193nm) lithography is likely expected to be... more Due to miniaturization of semiconductor devices, ArF (193nm) lithography is likely expected to be used for sub 100nm regime. For sub 100nm devices, high NA (>=0.70) exposure tools and various strong off-axis illumination (OAI) conditions should be used. But unlike KrF (248nm) lithography, resist pattern collapse becomes one of the most serious problems in ArF lithography. In order to solve pattern collapse problem, thin resist process is generally introduced but its poor etch resistance is an obstacle for being applied in real production process. Due to this reason, new kinds of organic BARC materials are investigated and optimized to avoid pattern collapse. As mentioned, the most important issue in ArF organic BARC is believed to be the pattern collapse problem. A number of organic BARCs were made by varying polymer, cross-linker, thermal acid generator, and additive. We tried to analyze the key factor in terms of pattern collapse. This paper is to compare the various elements of the organic BARC formulation and to discuss what brings and causes pattern collapse.
Advances in Resist Materials and Processing Technology XXVIII, 2011
ABSTRACT From the perspectives of IC fabrication simplification, cost reduction, and waste materi... more ABSTRACT From the perspectives of IC fabrication simplification, cost reduction, and waste material cutback, it is highly desirable to combine the traditional pattern formation step (lithographical processes) and the pattern transfer step (etch processes) into a single step. Photo-imageable spin-on dielectrics (PSOD) render it possible to achieve the aforementioned goal. However, the bestowed dual functionalities on PSOD put great challenges on the material design and development. PSOD needs not only to match all the performances of the advanced resists, but also to undertake all the duties of the dielectrics on the chips. We wish to report our modular approach employing Si-containing materials to address the challenge and to meet the requirements from the different material roles. This paper will also discuss the investigation and progress on lithographic performance, cure behaviors, thermal stability, and electrical and mechanical properties.
Thin imaging systems have the potential for excellent lithographic performance and good etch prop... more Thin imaging systems have the potential for excellent lithographic performance and good etch properties. In such systems, the optical absorption of the undercoat and of the imaging layer can be adjusted through formulation and chemistry modifications. As the substrate underneath the resist undercoat changes, the optimal k for the undercoat will change. The reflectivity of the underlayer resist interface will
The effect of scanning electron microscope (SEM) measurements on the dimensions of resist feature... more The effect of scanning electron microscope (SEM) measurements on the dimensions of resist features was studied for 193nm resist materials. Initial measurements showed that resist lines became smaller as they were repeatedly measured, with size changes of up to 40 to 50 nm after 50 to a 100 measurements. There was a significant size change for the two 193nm resist
The stringent requirements facing modern chemically amplified photoresists and antireflective coa... more The stringent requirements facing modern chemically amplified photoresists and antireflective coatings make computer physical simulation methods a valuable tool for photoresist and ARC research and design. Hypothetical microlithographic processes involving toolsets that are unavailable to the experimenter may be evaluated. Complex photoresist physical reaction phenomena, often difficult to measure experimentally, may be evaluated within the limits of the mathematical models used. This work details the mechanics and application of a custom simulation tool written for the modeled study of reactive soluble ARCs (DBARCs), soluble ARCs, and photoresist-ARC interactions - phenomena not readily modeled by commercially available software at the time of this paper. Photoresist and ARC interactions are modeled by computing two-dimensional composite diffusion and reaction. Soluble ARCs, either reactive (DBARCs) or nonreactive, are modeled using composite diffusion, a full level-set front track...
Advances in Resist Technology and Processing XIV, 1997
ABSTRACT The synthesis, characterization, and lithographic evaluation of a polyhydroxystyrene (PH... more ABSTRACT The synthesis, characterization, and lithographic evaluation of a polyhydroxystyrene (PHS) modified with isopropyloxycarbonate groups is described. The inert blocking group is attached to the hydroxyl sites on PHS resin to slow the dissolution rate and make the resin useful in resists designed for 0.263 N TMAH developers. A negative tone resist (CGR-IP) that is formulated with the modified polymer is compatible with the industry standard 0.263 N TMAH developer and is capable of resolving 0.22 micrometer L/S features and 0.14 micrometer isolated lines on a 0.50 NA imaging system. Reaction with PHS resin occurs primarily at the phenolic sites as shown by carbon-13 NMR and 10% protection is sufficient to lower the dissolution rate to an acceptable level so that there is less than 50 angstrom film loss in exposed areas. The blocking group described here is not acid labile and reaming intact after the resist film is baked at 150 degrees Celsius. Bibtex entry for this abstract Preferred format for this abstract (see Preferences) Find Similar Abstracts: Use: Authors Title Abstract Text Return: Query Results Return items starting with number Query Form Database: Astronomy Physics arXiv e-prints
Advances in Resist Materials and Processing Technology XXX, 2013
ABSTRACT As feature sizes continue to shrink, the need for new materials and processes becomes mo... more ABSTRACT As feature sizes continue to shrink, the need for new materials and processes becomes more urgent. In order to achieve high-resolution patterns and low line edge roughness (LER), there have been many studies on small molecular resists. In terms of processes, there have been growing interests in negative-tone development because of its better performance in printing narrow trenches and contact holes. As new patterning materials, we have synthesized inorganic nanoparticle resists that consist of a metal oxide (HfO2 or ZrO2) core surrounded by organic ligands. The inorganic core provides high etch-resistance while the organic ligands give the resists photochemical functionality. Because of their high etch-resistance, thin films of these nanoparticle photoresists are sufficient to provide good pattern transfer to the substrate and eliminate problems such as pattern collapse. Negative-tone patterning of these nanoparticle photoresists can be achieved by using an organic solvent. The small sizes (1-3nm) of these nanoparticle resists can also enable high-resolution patterning and have the potential to reduce LER. We have successfully shown negative-tone patterning of these nanoparticle resists with features as small as 30 nm using both e-beam and EUV lithography and this paper seeks to study the NTD results with different negative-tone developers.
ABSTRACT This paper demonstrates a new simulation-based methodology for optimizing critical dimen... more ABSTRACT This paper demonstrates a new simulation-based methodology for optimizing critical dimension (CD) bias for contact holes (CH) arrays using several different extreme ultraviolet (EUV) resists that were fully calibrated and verified with physical resist models. The bias for CH was optimized using local CD uniformity (CDU) 3-sigma as a cost function. The CD sigma variations of near-neighbor contact holes were simulated as a function of dose-to-size and mask bias, averaged over a large number of stochastic trials. There is a distinct bias for minimum CD sigma accompanied by an increase in the process window. The results are confirmed with wafer data. We will discuss the results in terms of EUV photon shot noise coupled with resist parameters. The simulation results will be used to predict a parameter space for EUV resist that can optimize line edge roughness (LER)/resolution/process window and CDU. Finally, various tradeoffs will be presented that will enable the process to perform in a high volume manufacturing environment.
ABSTRACT Magnification of mask dimensional error is examined and quantified in terms of the mask ... more ABSTRACT Magnification of mask dimensional error is examined and quantified in terms of the mask error factor (MEF) for line and hole patterns on three types of masks: chrome-on-glass (COG), attenuated phase-shifting mask (PSM) and alternating PSM. The MEF is unity for large features, but increases rapidly when the critical dimension (CD) is less than 0.5 (lambda) /NA for line-space patterns and 0.75 (lambda) /NA for contacts. In general dark-field spaces exhibit higher sensitivity to mask dimensional error than light-field lines. Sensitivity of attenuated PSMs is similar to COG masks, even for applications in which attenuated PSMs provide benefits in process latitude. Alternating PSMs have the lowest MEF values. Although the MEF has only a slight dependence on feature nesting for contacts, dense lines and spaces exhibit markedly higher MEF values than isolated features. The MEF of a 0.35 (lambda) /NA isolated line is 1.6 whereas that of a dense line of the same dimension is 4.3 illumination is effective in reducing the mask error sensitivity of dense lines. Dose variation causes changes in the MEF of contacts but has little effect on line-space features; focus error degrades (increases the value of) the MEF of both pattern types. A high diffusion and low contrast photoresist process also worsens the MEF. Consequences of mask CD error amplification include tightening of mask specification, design grid reduction, shift in optimal mask bias and enhanced defect printability.
Advances in Resist Materials and Processing Technology XXIV, 2007
We report about the development of novel nanocomposite resists that incorporate colloidal silica ... more We report about the development of novel nanocomposite resists that incorporate colloidal silica nanoparticles into conventional resist materials to yield thick coatings with both excellent lithographic properties and significantly increased plasma etch resistance. 10-50 wt% silica nanoparticles of 10-15 nm in size were dispersed homogeneously in a variety of standard resist resins by a simple process. The nanocomposite resists have
Journal of vacuum science & technology. B, Microelectronics and nanometer structures: processing, measurement, and phenomena: an official journal of the American Vacuum Society
Electrical measurement techniques and aerial image simulation were used to quantify the lithograp... more Electrical measurement techniques and aerial image simulation were used to quantify the lithographic benefits of an IBM hydrogenated amorphous carbon attenuated phase shifting mask for 0.25 and 0.2 μm features. Both a chrome and attenuated amorphous carbon blank were patterned with electrically testable structures consisting of varying dimensions and pitch. Detailed characterization was performed on the blanks to confirm phase, transmission, and dimensional accuracy. Process window characterization as a function of image biasing and pitch were evaluated using SPLAT simulations and electrical measurements for SVGL Micrascan II exposures combined with exposure‐defocus analysis techniques. The electrical linewidth data showed a 12.5% reduction of depth‐of‐focus corresponding to a 25 nm offset from optimum bias, demonstrating the importance of accurate mask biasing. Electrical measurements structures were patterned on doped polysilicon films using APEX‐E resist. Exposure latitude enhanc...
Advances in Resist Materials and Processing Technology XXV, 2008
We will discuss our recent results using a second generation radiation sensitive developable 193 ... more We will discuss our recent results using a second generation radiation sensitive developable 193 Bottom Antireflective coatings (DBARCs). These DBARC materials are made solvent resistant the application of a resist coating on top of them through a crosslinking mechanism that is reversible by acid catalyzed reaction upon exposure of the DBARC/resist stack. Typically this is done by crosslinking a copolymer
Uploads
Papers by Mark Neisser