Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3400302.3415667acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

InterLock: an intercorrelated logic and routing locking

Published: 17 December 2020 Publication History

Abstract

In this paper, we propose a canonical prune-and-SAT (CP&SAT) attack for breaking state-of-the-art routing-based obfuscation techniques. In the CP&SAT attack, we first encode the key-programmable routing blocks (keyRBs) based on an efficient SAT encoding mechanism suited for detailed routing constraints, and then efficiently re-encode and reduce the CNF corresponded to the keyRB using a bounded variable addition (BVA) algorithm. In the CP&SAT attack, this is done before subjecting the circuit to the SAT attack. We illustrate that this encoding and BVA-based pre-processing significantly reduces the size of the CNF corresponded to the routing-based obfuscated circuit, in the result of which we observe 100% success rate for breaking prior art routing-based obfuscation techniques. Further, we propose a new intercorrelated logic and routing locking technique, or in short InterLock, as a countermeasure to mitigate the CP&SAT attack. In Interlock, in addition to hiding the connectivity, a part of the logic (gates) in the selected timing paths are also implemented in the keyRB(s). We illustrate that when the logic gates are twisted with keyRBs, the BVA could not provide any advantage as a pre-processing step. Our experimental results show that, by using InterLock, with only three 8×8 or only two 16×16 keyRBs (twisted with actual logic gates), the resilience against existing attacks as well as our new proposed CP&SAT attack would be guaranteed while, on average, the delay/area overhead is less than 10% for even medium-size benchmark circuits.

References

[1]
A. Biere. 2004. Resolve and Expand. In Int'l Conference on Theory and Applications of Satisfiability Testing. 59--70.
[2]
A. Biere et al. 2014. Detecting cardinality constraints in CNF. In International Conference on Theory and Applications of Satisfiability Testing. 285--301.
[3]
A. Chakraborty et al. 2018. TimingSAT: timing profile embedded SAT attack. In ICCAD. 1--6.
[4]
A. Rezaei et al. 2018. Cyclic Locking and Memristor-based Obfuscation against CycSAT and Foundry Attacks. In DATE. 85--90.
[5]
A. Rezaei et al. 2019. CycSAT-unresolvable cyclic logic encryption using unreachable states. In ASP-DAC. 358--363.
[6]
A. Yeh. 2012. Trends in the Global IC Design Service Market. DIGITIMES research (2012).
[7]
Actel Corporation. 2002. Design Security in Nonvolatile Flash and Antifuse FPGAs., 16 pages. http://www.actel.com/documents/DesignSecurity_WP.pdf
[8]
D. Chai et al. 2005. A Fast Pseudo-Boolean Constraint Solver. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 24, 3 (2005), 305--317.
[9]
D. Le Berre et al. 2010. The Sat4j library, release 2.2. Journal on Satisfiability, Boolean Modeling and Computation 7, 2--3 (2010), 59--64.
[10]
D. Mitchell et al. 1992. Hard and Easy Distributions of SAT Problems. In AAAI, Vol. 92. 459--465.
[11]
D. Sirone, and P. Subramanayan. 2020. Functional analysis attacks on logic locking. IEEE TIFS (2020).
[12]
E. Giacomin et al. 2017. Low-power Multiplexer Designs using Three-Independent-Gate Field Effect Transistors. In IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH). 33--38.
[13]
E. Lee et al. 2008. Interconnect Driver Design for Long Wires in Field-Programmable Gate Arrays. Journal of Signal Processing Systems 51, 1 (2008), 57--76.
[14]
F. Aloul et al. 2002. Solving Difficult SAT Instances in the Presence of Symmetry. In Design Automation Conference (DAC). 731--736.
[15]
G. -J. Nam et al. 2004. A Comparative Study of Two Boolean Formulations of FPGA detailed Routing Constraints. IEEE Trans. Comput. 53, 6 (2004), 688--696.
[16]
G. L. Zhang et al. 2018. TimingCamouflage: Improving Circuit Security against Counterfeiting by Unconventional Timing. In Design, Automation & Test in Europe Conference & Exhibition (DATE). 91--96.
[17]
H. M. Kamali et al. 2019. Full-Lock: Hard Distributions of SAT Instances for Obfuscating Circuits using Fully Configurable Logic and Routing Blocks. In DAC.
[18]
H. M. Kamali, K. Z. Azar, H. Homayoun, and A. Sasan. 2020. On Designing Secure and Robust Scan Chain for Protecting Obfuscated Logic. In Great Lakes Symposium on VLSI (GLSVLSI). 1--6.
[19]
H. M. Kamali, K. Z. Azar, H. Homayoun, and A. Sasan. 2020. SCRAMBLE: The State, Connectivity and Routing Augmentation Model for Building Logic Encryption. In IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 153--159.
[20]
H. M. Kamali, K. Z. Azar, K. Gaj, H. Homayoun, and A. Sasan. 2018. LUT-lock: A Novel LUT-based Logic Obfuscation for FPGA-bitstream and ASIC-hardware Protection. In IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 405--410.
[21]
H. Zhou et al. 2017. CycSAT: SAT-based Attack on Cyclic Logic Encryptions. In ICCAD. 49--56.
[22]
J. Luu et al. 2014. VTR 7.0: Next Generation Architecture and CAD System for FPGAs. ACM Transactions on Reconfigurable Technology and Systems (TRETS) 7, 2 (2014), 1--30.
[23]
J. Marques-Silva et al. 2007. Towards robust CNF encodings of cardinality constraints. In International Conference on Principles and Practice of Constraint Programming. 483--497.
[24]
J. Rajendran et al. 2012. Security Analysis of Logic Obfuscation. In Proceedings of Design Automation Conference (DAC). 83--89.
[25]
J. Rajendran et al. 2015. Fault Analysis-based Logic Encryption. IEEE TC 64, 2 (2015), 410--424.
[26]
J. Roy et al. 2010. Ending Piracy of Integrated Circuits. Computer 43, 10 (2010), 30--38.
[27]
J. Zhang et al. 2014. Configurable Circuits Featuring Dual-Threshold-Voltage Design with Three-Independent-Gate Silicon Nanowire FETs. IEEE Transactions on Circuits and Systems I: Regular Papers 61, 10 (2014), 2851--2861.
[28]
K. Shamsi et al. 2017. AppSAT: Approximately Deobfuscating Integrated Circuits. In HOST. 95--100.
[29]
K. Shamsi et al. 2017. Cyclic Obfuscation for Creating SAT-Unresolvable Circuits. In GLSVLSI. 173--178.
[30]
K. Shamsi et al. 2019. IcySAT: Improved SAT-based Attacks on Cyclic Locked Circuits. In ICCAD. 1--7.
[31]
K. Shamsi et al. 2019. KC2: Key-condition Crunching for Fast Sequential Circuit Deobfuscation. In DATE. 534--539.
[32]
K. Shamsi, et al. 2018. Cross-lock: Dense layout-level interconnect locking using cross-bar architectures. In GLSVLSI. 147--152.
[33]
K. Z. Azar et al. 2019. COMA: Communication and Obfuscation Management Architecture. In International Symposium on Research in Attacks, Intrusions and Defenses (RAID). 181--195.
[34]
K. Z. Azar et al. 2019. SMT Attack: Next Generation Attack on Obfuscated Circuits with Capabilities and Performance Beyond the SAT Attacks. CHES (2019), 97--122.
[35]
K. Z. Azar, H. M. Kamali, H. Homayoun, A. Sasan. 2020. NNgSAT: Neural Network guided SAT Attackon Logic Locked Complex Structures. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 1--9.
[36]
K. Z. Azar, H. M. Kamali, H. Homayoun, and A. Sasan. 2019. Threats on logic locking: A decade later. In Great Lakes Symposium on VLSI (GLSVLSI). 471--476.
[37]
L. Alrahis et al. 2019. ScanSAT: Unlocking Obfuscated Scan Chains. In ASP-DAC. 352--357.
[38]
M. Alam et al. 2019. TOIC: Timing Obfuscated Integrated Circuits. In Great Lakes Symposium on VLSI. 105--110.
[39]
M. Davis et al. 1960. A Computing Procedure for Quantification Theory. Journal of the ACM (JACM) 7, 3 (1960), 201--215.
[40]
M. El Massad et al. 2015. IC Decamouflaging: Reverse Engineering Camouflaged ICs within Minutes. In Network and Distributed System Security Symposium (NDSS). 1--14.
[41]
M. El Massad et al. 2017. Reverse Engineering Camouflaged Sequential Circuits without Scan Access. In ICCAD. 33--40.
[42]
M. Gebser et al. 2009. The conflict-driven answer set solver clasp: Progress report. In International conference on logic programming and nonmonotonic reasoning. 509--514.
[43]
M. Koshimura et al. 2012. QMaxSAT: A partial Max-SAT solver. Journal on Satisfiability, Boolean Modeling and Computation 8, 1--2 (2012), 95--100.
[44]
M. Rostami, F. Koushanfar, and R. Karri. 2014. A Primer on Hardware Security: Models, Methods, and Metrics. Proc. IEEE 102, 8 (2014), 1283--1295.
[45]
M. Velev et al. 2008. Comparison of Boolean Satisfiability Encodings on FPGA detailed Routing Problems. In Design, Automation and Test in Europe. 1268--1273.
[46]
M. Velev et al. 2009. Efficient SAT Techniques for Absolute Encoding of Permutation Problems: Application to Hamiltonian Cycles. In Eighth Symposium on Abstraction, Reformulation, and Approximation.
[47]
M. Yasin et al. 2016. SARLock: SAT Attack Resistant Logic Locking. In HOST. 236--241.
[48]
M. Yasin et al. 2017. Provably-Secure Logic Locking: From Theory to Practice. In ACM CCS. 1601--1618.
[49]
M. Yasin et al. 2017. Removal Attacks on Logic Locking and Camouflaging Techniques. IEEE TETC (2017).
[50]
M. Yasin, et al. 2017. Security Analysis of Anti-SAT. In ASP-DAC. 342--347.
[51]
N. Eén et al. 2003. An extensible SAT-solver. In International conference on theory and applications of satisfiability testing. 502--518.
[52]
N. Eén et al. 2005. Effective preprocessing in SAT through variable and clause elimination. In Int'l conference on theory and applications of satisfiability testing. 61--75.
[53]
N. Limaye et al. 2019. Is Robust Design-for-Security Robust Enough? Attack on Locked Circuits with Restricted Scan. In ICCAD. 1--8.
[54]
N. Manthey et al. 2012. Automated Reencoding of Boolean Formulas. In Haifa Verification Conference. 102--117.
[55]
O. Bailleux et al. 2003. Efficient CNF encoding of boolean cardinality constraints. In International conference on principles and practice of constraint programming. 108--122.
[56]
P. Subramanyan et al. 2015. Evaluating the Security of Logic Encryption Algorithms. In International Symposium on Hardware Oriented Security and Trust (HOST). 137--143.
[57]
P. Tuyls et al. 2006. Read-Proof Hardware from Protective Coatings. In Int'l Workshop on Cryptographic Hardware and Embedded Systems (CHES). 369--383.
[58]
R. Asín et al. 2011. Cardinality networks: a theoretical and empirical study. Constraints 16, 2 (2011), 195--221.
[59]
R. Karmakar et al. 2018. Encrypt flip-flop: A novel logic encryption technique for sequential circuits. arXiv 1801.04961 (2018).
[60]
S. Patnaik et al. 2020. Obfuscating the Interconnects: Low-cost and Resilient Full-chip Layout Camouflaging. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (2020).
[61]
S. Roshanisefat et al. 2018. SRCLock: SAT-resistant cyclic logic locking for protecting the hardware. In Great Lakes Symposium on VLSI (GLSVLSI). 153--158.
[62]
S. Roshanisefat et al. 2020. SAT-Hard Cyclic Logic Obfuscation for Protecting the IP in the Manufacturing Supply Chain. IEEE Transactions on Very Large Scale Integration (VLSI) Systems (2020), 1--14.
[63]
S. Roshanisefat, H. M. Kamali, K. Z. Azar, S. M. P. Dinakarrao, N. Karimi, H. Homayoun, and A. Sasan. 2020. DFSSD: Deep Faults and Shallow State Duality, A Provably Strong Obfuscation Solution for Circuits with Restricted Access to Scan Chain. In VLSI Test Symposium (VTS). 1--6.
[64]
C. Sinz. 2005. Towards an optimal CNF encoding of boolean cardinality constraints. In International conference on principles and practice of constraint programming. 827--831.
[65]
X. Wang et al. 2017. Secure Scan and Test using Obfuscation throughout Supply Chain. IEEE TCAD 37, 9 (2017), 1867--1880.
[66]
X. Xu et al. 2017. Novel Bypass Attack and BDD-based Trade-off against all Known Logic Locking Attacks. In CHES. 189--210.
[67]
Y. Shen and H. Zhou. 2017. Double Dip: Re-evaluating Security of Logic Encryption Algorithms. In GLSVLSI. 179--184.
[68]
Y. Shen et al. 2019. BeSAT: behavioral SAT-based attack on cyclic logic encryption. In ASP-DAC. 657--662.
[69]
Y. Xie, and A. Srivastava. 2016. Mitigating SAT Attack on Logic Locking. In CHES. 127--146.
[70]
Y. Xie and A. Srivastava. 2017. Delay Locking: Security Enhancement of Logic Locking against IC Counterfeiting. In DAC. 1--9.

Cited By

View all
  • (2024)Dynamic Reconfigurable Security Cells Based on Emerging Devices Integrable in FDSOI Technology2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546894(1-6)Online publication date: 25-Mar-2024
  • (2024)Removal of SAT-Hard Instances in Logic Obfuscation Through Inference of FunctionalityACM Transactions on Design Automation of Electronic Systems10.1145/367490329:4(1-23)Online publication date: 25-Jun-2024
  • (2024)A Module-Level Configuration Methodology for Programmable Camouflaged LogicACM Transactions on Design Automation of Electronic Systems10.1145/364046229:2(1-31)Online publication date: 14-Feb-2024
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '20: Proceedings of the 39th International Conference on Computer-Aided Design
November 2020
1396 pages
ISBN:9781450380263
DOI:10.1145/3400302
  • General Chair:
  • Yuan Xie
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

  • IEEE CAS
  • IEEE CEDA
  • IEEE CS

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 17 December 2020

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. logic obfuscation
  2. routing obfuscation
  3. the SAT attack

Qualifiers

  • Research-article

Conference

ICCAD '20
Sponsor:

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)63
  • Downloads (Last 6 weeks)15
Reflects downloads up to 17 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2024)Dynamic Reconfigurable Security Cells Based on Emerging Devices Integrable in FDSOI Technology2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546894(1-6)Online publication date: 25-Mar-2024
  • (2024)Removal of SAT-Hard Instances in Logic Obfuscation Through Inference of FunctionalityACM Transactions on Design Automation of Electronic Systems10.1145/367490329:4(1-23)Online publication date: 25-Jun-2024
  • (2024)A Module-Level Configuration Methodology for Programmable Camouflaged LogicACM Transactions on Design Automation of Electronic Systems10.1145/364046229:2(1-31)Online publication date: 14-Feb-2024
  • (2024)PoTeNt: Post-Synthesis Obfuscation for Secure Network-on-Chip Architectures2024 IEEE 37th International System-on-Chip Conference (SOCC)10.1109/SOCC62300.2024.10737820(1-6)Online publication date: 16-Sep-2024
  • (2024)ZeKi: A Zero-Knowledge Dynamic Logic Locking Implementation with Resilience to Multiple Attacks2024 IEEE 37th International System-on-Chip Conference (SOCC)10.1109/SOCC62300.2024.10737800(1-6)Online publication date: 16-Sep-2024
  • (2024)LOOPLock 3.0: A Robust Cyclic Logic Locking Approach2024 29th Asia and South Pacific Design Automation Conference (ASP-DAC)10.1109/ASP-DAC58780.2024.10473877(594-599)Online publication date: 22-Jan-2024
  • (2024)Logic Locking over TFHE for Securing User Data and AlgorithmsProceedings of the 29th Asia and South Pacific Design Automation Conference10.1109/ASP-DAC58780.2024.10473831(600-605)Online publication date: 22-Jan-2024
  • (2024)Advancing Trustworthiness in System-in-Package: A Novel Root-of-Trust Hardware Security Module for Heterogeneous IntegrationIEEE Access10.1109/ACCESS.2024.337587412(48081-48107)Online publication date: 2024
  • (2024)Rethinking Hardware WatermarkHardware Security10.1007/978-3-031-58687-3_3(143-182)Online publication date: 3-Apr-2024
  • (2024)Advances in Logic LockingHardware Security10.1007/978-3-031-58687-3_2(53-142)Online publication date: 3-Apr-2024
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media