Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                

PHD Thesis (SLIDES of presentation) Francisco Fambrini

This document are the powerpoint slides of my PHD Thesis presentation, intitulated: " Projeto de um Sistema de Registro de Sinais de Neurônios em Matriz de Microeletrodos com Ressonância Estocástica".

Programa de Pós-Graduação em Ciência da Computação/UFSCar Tese de Doutorado Título: Projeto de um Sistema de Registro de Sinais de Neurônios em Matriz de Microeletrodos com Ressonância Estocástica ALUNO: FRANCISCO FAMBRINI ORIENTADOR: PROF. DR. JOSÉ HIROKI SAITO SÃO CARLOS – SETEMBRO DE 2019 Sumário • • • • • • 1- Introdução, objetivos 2- Sistema Convencional de Registro de Sinais 3- Ressonância Estocástica (RE) 4- Simulações e Sistema REAC 5- Resultados Experimentais 6- Conclusões e Trabalhos Futuros Objetivo principal • Explorar o conceito de Ressonância Estocástica para o registro de sinais elétricos produzidos pelos neurônios cultivados in vitro em Matrizes de Microeletrodos (MEA), comparado com os sistemas convencionais. Objetivos secundários a) Construção de um sistema convencional de registro de sinais de neurônios cultivados em MEA; b) Construção de um circuito de ressonância estocástica que aumenta a sensibilidade da amplificação de sinais; c) Comparação entre os dois sistemas construídos. Matriz de Microeletrodos • Micro-Electrode Array ou Multielectrode Array (MEA) Vista amplificada da região central Potencial de membrana de neurônio obtido por patch-clamp Potencial de membrana de um neurônio de hipocampo de rato Wistar. Fonte: adaptado de (LEWANDOWSKA, 2015). Potencial elétrico extracelular de neurônios obtido por eletrodo da MEA Vermelho - teórico e preto - sinal registrado. Fonte: Adaptado de (MARTINOIA, 2015). Spikes, bursts e intervalos IBI (intervalo entre bursts) e ISI (intervalo entre spikes). Sistema Convencional de Registro de Sinais captados em MEA Pré-amplificador Dentro do soquete são montados 59 circuitos Idênticos. Multiplexador e Digitalizador Digitalizador Completo Aspecto final do Digitalizador: (A) digitalizador, com os fios interligando todos os módulos (B) conexão com a MEA. Ressonância Estocástica (RE) • Ressonância estocástica (RE) é um termo usado para descrever o fenômeno em que a presença de ruído num sistema não-linear melhora a resposta a um determinado sinal de entrada. • A ressonância estocástica não pode ocorrer em um sistema linear. • Schmitt trigger Histórico sobre RE Trabalho de Benzi e colaboradores - recorrência das eras glaciais. - a sequência das eras glaciais possuía um período médio em torno de cem mil anos. - os ruídos consistiam dos fenômenos naturais terrestres como erupções vulcânicas que somados aos movimentos devidos à excentricidade da Terra provocavam as eras glaciais. Primeira verificação experimental Verificação experimental de RE por Fauve e Heslot: - fonte de alimentação do tipo Schmitt trigger e a dependência com o ruído de suas linhas espectrais. - envolve a conversão de um sinal senoidal em um sinal de onda quadrada. Esquema da medições utilizando RE Sistemas Estocásticos Um processo estocástico é um fenômeno que varia em algum grau, de forma imprevisível, à medida que o tempo passa. - Encontrados desde o nível microscópico, como verificado na difusão de partículas num solvente, até escalas astronômicas, em sistemas estelares. - Buraco negro (BN) no centro de um sistema estelar denso. Teoricamente, quando a massa é muito grande, o BN pode adquirir um movimento semelhante ao de uma partícula em suspensão em um líquido ou num gás. - Movimento Browniano (tese de Albert Einstein, 1905). - A variação do dólar frente ao real e a cotação da Bolsa e Valores. Problema do Poço de Duplo Potencial Gammaitoni e colaboradores - o mecanismo das transições de um sistema estocástico forçado por um sinal periódico de intensidade sub-limiar. - oscilações de um potencial de quarta potência forçado por um componente periódico. Energia potencial de uma partícula em movimento Browniano, na ausência de ruído aditivo e de forças externas. Sistema Biestável não-linear Partícula Browniana sobrecarregada em um poço potencial biestável, acompanhado de força motriz periódica e ruído. O sistema pode ser modelado usando-se a equação de Langevin de movimento na forma: a e b são parâmetros do sistema, a>0, b>0; x(t) é a saída do sistema (posição da partícula ou ddp); A e ω são a amplitude e a frequência do sinal de entrada; ƞ(t) é o ruído Gaussiano aditivo. Caso Simplificado Para este caso mais simples, pode-se obter através de integração: O potencial U(x) está associado à posição x Permutação de posição com RE • Pode-se perceber duas posições x(t) onde a partícula pode se encontrar no nível mínimo de energia: – Nestas duas posições, a partícula não pode, teoricamente, permutar sua posição. – Se uma força periódica fraca for aplicada à partícula, a barreira de duplo potencial é assimetricamente movimentada aumentando e diminuindo o potencial porém não permuta a posição. – Com a sinergia entre o sinal e o ruído, a energia da partícula torna-se maior que a barreira de potencial, e ocorre a RE possibilitando a permutar a posição. Explicando o Efeito RE • Por conta da cooperação entre sinal e ruído, existe a intensidade ideal de ruído que pode maximizar a resposta de saída com a frequência f. • Ao aumentar a intensidade do ruído, muitas transições são ativadas pelo ruído durante um ciclo da entrada periódica, e a cooperação entre sinal e ruído é perdida novamente. • Esse é o efeito RE: a resposta do sistema é mais regular em um nível de ruído finito e não-invasivo. Equação de Langevin Foi usado o método de Runge-Kutta para obter soluções numéricas da equação (4.12): Simulações Computacionais Esquerda: Sinais senoidais puros (a) A=0,1V, (b) A=0,2V, (c) A=0,3V e (d) A=0,4V Direita : Espectro de amplitude para cada sinal, obtido a partir de FFT. Senóides corrompidas com ruído Gaussiano No resultado da aplicação da FFT unilateral a cada sinal, pode-se observar a presença do ruído. O sinal ainda é identificável, porque o nível de ruído não é muito elevado. Senóide e ruídos, adicionados (a) sinal senoidal de amplitude 0,4V; (b) ruído produzido através da função rand( ) com amplitude igual a 2,0V; (c) resultado da adição sinal+ruído e (d) gráfico do espectro de amplitude no domínio da frequência. Medindo SNR onde: A é o valor quadrático médio (RMS) da amplitude; s é o vetor que representa o sinal original; r representa o vetor de ruído; n o comprimento do vetor do sinal. SNR medida em dB Força na partícula em Movimento Browniano No caso discreto: Resolvendo no MATLAB No eixo das abcissas é mostrado o tempo, e no eixo vertical a posição x=x(t). Espectro de Amplitude Espectro de amplitude para o gráfico mostrado na Figura 5.9, mostrando o valor da frequência de excitação, f=0.1Hz, que corresponde ao sinal fraco que se deseja detectar. Resultados das simulações Sistema com Ressonância Estocástica (SASMARE) Subsistema REAC Valor RMS de um sinal Valor RMS para sinais aperiódicos discretizados – medidos pelo REAC Interface Gráfica REAC Circuito Misturador Resultados • Experimentos em Sistema Convencional de Registro de Sinais em neurônios de Gânglios de Raiz Dorsal de Ratos Wistar, feitos na Un. Federal de Uberlândia, em 6/7/2019 • Experimentos em Sistema RE (REAC) feitos em Laboratório de Eletrônica Dispostivos MEA e Sistema Convencional MEAs Gaiola de Faraday Equipamento de Registro Convencional Simulador MEASim (esquerdo) Experimento 1 – MEA 1 em atividade basal • MEA em atividade basal: neurônios não emitem sinais, somente ruído. Nível médio de ruido: 37 mV (RMS). Nível RMS de ruído calculado por: Experimento 4 – MEA 2 sob estímulo químico solução KCl Registro do sinal elétrico do eletrodo 76 da MEA nº 2 no momento da aplicação do estímulo químico com solução de KCl na cultura do Experimento nº 4. Experimento 4 - continuação • A amplitude das oscilações aumentaram de um valor inicial (antes da amostra de número 2000) igual a 44mV (RMS) para cerca de 370mV (RMS) após a aplicação da solução de KCl. Após 2 minutos da aplicação do estimulante químico KCl o seguinte registro foi obtido: Experimento 6 – MEA 3 com estimulação química com KCl Nos registros percebe-se um aumento na atividade elétrica dos respectivos canais pós estímulo químico através de solução de cloreto de potássio. As amplitudes RMS dos sinais nestes canais, calculadas pelo MATLAB aplicando-se a equação (7.3) foram 376mV, 394mV, 422mV e 376 mV respectivamente. Todos os valores são da ordem de 10 vezes maiores do que os valores registrados em níveis basais, experimento 1. Experimento 9 – Simulador MEASim Registro dos sinais do simulador MEASim no canal 3 do chip 6 (posição 37 da MEA). O sinal original produzido pelo MEASim tem amplitude igual 400 µV em um pad e 200 µV no pad adjacente. O período dos sinais é de 18ms. Experimentos com o REAC (em Lab. de Eletrônica) 1 – Ensaios feitos com o MEASim modificado, sendo que o nível de sinal senoidal permaneceu constante, com amplitude 50µV rms : Apenas o ruído Gaussiano foi variado no REAC Resultados (A – D) Ruído: 2,5 mV - amarelo Sinal Senoidal – MEASim = azul Ruído: 5 mV - amarelo Sinal Senoidal 315mV - azul Ruído: 7,5 mV - amarelo Sinal Senoidal – 1,33 V - azul Ruído: 10 mV - amarelo Sinal Senoidal – 1,26 V - azul Resultados (E – H) Ruído = 15 mV Sinal = 1,191 V Ruído = 20mV Sinal = 796mV Ruído = 30mV Sinal = 559mV Ruído = 40mV Sinal = 400mV SNR(db) em função da amplitude do ruído Gaussiano Ganho de Amplificação em função da amplitude do ruído Gaussiano Comparações entre o Sistema Convencional e o REAC Sinal de frequência igual a 12 Hz, período 0,0833 s, contendo 6 pulsos de largura igual a 600 µs em cada período, seguido de um período “refratário”, onde a onda permanece em nível lógico baixo durante outros 0,0833s foi programado em um Gerador de Funções da marca MINIPA, modelo MFG4210-B. Resultados dos testes comparando os dois sistemas (Experimento A) Amplitude do sinal de Entrada: 100 uV p-p Sinal amplificado por RE (amarelo): 276 mV p-p Sinal amplificado convencional (azul): 158 mV p-p Amplitude do ruído no sinal convencional: 38 mV Amplitude do ruído de RE: 7,5 mV (Experimento B) Amplitude do sinal de Entrada: Sinal amplificado por RE (amarelo): Sinal amplificado convencional (azul): Amplitude do ruído no sinal convencional: Amplitude do ruído de RE: 75 uV p-p 268 mV p-p 82 mV p-p 43 mV 7,5 mV (Experimento C) Amplitude do sinal de Entrada: 50 uV p-p Sinal amplificado por RE (amarelo): 268 mV p-p Sinal amplificado convencional (azul): 62 mV p-p Amplitude do ruído no sinal convencional: 42 mV Amplitude do ruído de RE: 7,5 mV (Experimento D) Amplitude do sinal de Entrada: 25 uV pp Sinal amplificado por RE (amarelo): 264 mV pp Sinal amplificado convencional (azul): indetectável Amplitude do ruído no sinal convencional: 43 mV pp Amplitude do ruído de RE: 7,5 mV pp (Experimento E) Amplitude do sinal de Entrada: 25 uV pp Sinal amplificado por RE (amarelo): 264 mV pp Sinal amplificado convencional (azul): indetectável Amplitude do ruído no sinal convencional: 43 mV pp Amplitude do ruído de RE: 7,5 mV pp Conclusões a) Foi desenvolvido um sistema convencional de registro de sinais de neurônios em MEA para 59 canais, e foi feito um estudo da aplicação de RE para melhorar a detecção de sinais de baixa amplitude. b) O sistema convencional foi testado em campo, registrando sinais de neurônios DRG de ratos Wistar, no Instituto de Ciências Biomédicas da Universidade Federal de Uberlândia, comprovando o seu funcionamento. c) Quanto ao aspecto de detecção de sinais, para o sistema convencional, o ganho é sempre da ordem de 31db (1127 vezes), mas quando o sinal tem amplitude inferior a 25uVp-p, este torna-se indetectável, pois o nível de ruído do próprio sistema amplificador é maior do que o nível do sinal presente na sua entrada. d) Para o sistema RE, o ganho varia entre 34,4 dB e 44,2 dB. O ganho aumenta à medida que a intensidade do sinal de entrada é atenuado, em função da ação benéfica aditiva do ruído. Conclusões (cont.) e) Para sinais de amplitude maior do que 100uVp-p, os ganhos de ambos os sistemas praticamente se tornam iguais e não existem vantagens em empregar amplificação através de RE. f) O Sistema de amplificação não-linear baseado em RE é bastante adequado para detectar spikes e bursts em sinais de neurônios naturais. Mesmo sinais de muito baixa intensidade podem ser detectados. g) Os resultados dos testes do sistema de detecção através de ressonância estocástica se mostraram muito promissores para os sinais elétricos presentes em MEA, porque as características importantes a serem registradas são os spikes e os bursts. Trabalhos Futuros - Integração do Sistema de RE ao Sistema Convencional para permitir a detecção de sinais de pequena amplitude. - Integração do Software de Visualização e Processamento dos Sinais de MEA que está sendo desenvolvido em pelo Prof. João Fernando Mari, da Universidade Federal de Viçosa, com o Sistema de Registro desenvolvido. - Desenvolvimento de experimentos em campo com sinais de outros tipos de neurônios além dos DRG, já realizados, como os de cardiomiócitos e corticais. Papers e artigos relacionados 1- FAMBRINI F; BARRETO, M.A.; SAITO, J.H.; Low noise microelectrode array signal headstage pre-amplifier for in-vitro neuron culture [ Artigo submetido e publicado na Conferência CIBCB 2014, realizada em Honolulu, Hawaii, EUA, em Novembro de 2014. Descreve a construção do sistema Pré-Amplificador convencional para sinais captados em MEA. Site da Conferência: http://cibcb.org/2014/ ]. 2- FAMBRINI F.; BARRETO, M.A.; SAITO, J.H.; Low Noise Microelectrode Array Signal Headstage [ Artigo submetido e publicado na Conferência CBMS 2014, IEEE 27th International Symposium on Computer, em New York, EUA. Descreve a construção do sistema amplificador completo para matriz de microeletrodos. Site da Conferência: http://sites.ieee.org/cbms/callpapers/ ] 3- FAMBRINI F.; BARRETO, M.A.; SAITO, J.H.; Data Recording, Amplification, and Acquisition System for Microelectrode Array [ Artigo submetido e publicado na Conferência CBMS 2014, IEEE 27th International Symposium on Computer, em New York, EUA. Descreve os resultados experimentais dos testes efetuados com o sistema Pré-amplificador e Amplificador para sinais em MEA. Site da Conferência: http://sites.ieee.org/cbms/callpapers/ ] 4-FAMBRINI F.; SAITO, J.H.; DEL VAL CURA, L.M. Mea recording system circuit implementation. [ Artigo submetido e publicado na Conferência IECON 2017 - 43rd Annual Conference of the IEEE, 2017. Descreve os resultados dos experimentos com o sistema completo de registro de sinais em MEA, incluindo o sistema de multiplexação e o conversor analógico/digital. Site da Conferência: http://pe-ip.com/ieee-iecon-2017-call-papers/ ]. ARTIGO EM JOURNAL 5- FAMBRINI F.; SAITO J.H. An Innovative Digital Amplification Device for Neuronal Signal Based in Stochastic Resonance. [Artigo submetido à revista “Journal of Experimental Biology” em Agosto de 2019 e aguarda parecer. Descreve o método de amplificação de sinais captados em MEA através do sistema de ressonância estocástica e descreve também a construção do equipamento CADSR ( Computer Aided Digital Stochastic Resonator) bem como o desenvolvimento de seu Software de controle. Website deste Journal: https://jeb.biologists.org/ ]. Número do comprovante de Submissão do Artigo: MSID#:JEXBIO/2019/213215 Classificação Qualis A1 (CAPES) Artigos relacionados nos quais o autor participou indiretamente 6-BARRETO, M.A.; FAMBRINI, F.; SAITO, J.H.; Microelectrode array signal amplification using stochastic resonance [ Artigo submetido e publicado na Conferência IECON 2015 - 41st Annual Conference of the IEEE, 2015. Descreve um sistema de amplificação de sinais em MEA utilizando o fenômeno de ressonância estocástica ]. 7- CAMPOS, J.R.; SAITO, J.H.; MARI, J.F., FAMBRINI, F.; LAPPA R del Val Cura L.M.; Topological Mapping of Neurons using Activities Detected in MEA Recording Signals. [ Artigo submetido e publicado na Conferência KES 2018, realizada em Belgrado, Sérvia. Descreve o mapeamento topológico dos neurônios em MEA baseado nos sinais elétricos captados pelos microeletrodos. Site da Conferência: http://kes2018.kesinternational.org/ ]. OBRIGADO !