Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Free access
Just Accepted

Hybrid Modular Redundancy: Exploring Modular Redundancy Approaches in RISC-V Multi-Core Computing Clusters for Reliable Processing in Space

Online AM: 30 November 2023 Publication History

Abstract

Space Cyber-Physical Systems (S-CPS) such as spacecraft and satellites strongly rely on the reliability of onboard computers to guarantee the success of their missions. Relying solely on radiation-hardened technologies is extremely expensive, and developing inflexible architectural and microarchitectural modifications to introduce modular redundancy within a system leads to significant area increase and performance degradation. To mitigate the overheads of traditional radiation hardening and modular redundancy approaches, we present a novel Hybrid Modular Redundancy (HMR) approach, a redundancy scheme that features a cluster of RISC-V processors with a flexible on-demand dual-core and triple-core lockstep grouping of computing cores with runtime split-lock capabilities. Further, we propose two recovery approaches, software-based and hardware-based, trading off performance and area overhead. Running at 430MHz, our fault-tolerant cluster achieves up to 1160MOPS on a matrix multiplication benchmark when configured in non-redundant mode and 617 and 414 MOPS in dual and triple mode, respectively. A software-based recovery in triple mode requires 363 clock cycles and occupies 0.612 mm2, representing a 1.3% area overhead over a non-redundant 12-core RISC-V cluster. As a high-performance alternative, a new hardware-based method provides rapid fault recovery in just 24 clock cycles and occupies 0.660 mm2, namely ∼ 9.4% area overhead over the baseline non-redundant RISC-V cluster. The cluster is also enhanced with split-lock capabilities to enter one of the available redundant modes with minimum performance loss, allowing execution of a mission-critical portion of code when in independent mode, or a performance section when in a reliability mode, with <400 clock cycles overhead for entry and exit. The proposed system is the first to integrate these functionalities on an open-source RISC-V-based compute device, enabling finely tunable reliability vs. performance trade-offs.

References

[1]
Sergi Alcaide, Leonidas Kosmidis, Carles Hernandez, and Jaume Abella. 2020. Software-only based Diverse Redundancy for ASIL-D Automotive Applications on Embedded HPC Platforms. In 2020 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT). 1–4. https://doi.org/10.1109/DFT50435.2020.9250750 ISSN: 2377-7966.
[2]
M. L. Alles, R. D. Schrimpf, R. A. Reed, L. W. Massengill, R. A. Weller, M. H. Mendenhall, D. R. Ball, K. M. Warren, T. D. Loveless, J. S. Kauppila, and B. D. Sierawski. 2011. Radiation hardness of FDSOI and FinFET technologies. In IEEE 2011 International SOI Conference. 1–2. https://doi.org/10.1109/SOI.2011.6081714 ISSN: 1078-621X.
[3]
Jan Andersson. 2020. Development of a NOEL-V RISC-V SoC Targeting Space Applications. In 2020 50th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W). IEEE, Valencia, Spain, 66–67. https://doi.org/10.1109/DSN-W50199.2020.00020 ISSN: 2325-6664.
[4]
Jan Andersson, Magnus Hjorth, Fredrik Johansson, and Sandi Habinc. 2017. LEON Processor Devices for Space Missions: First 20 Years of LEON in Space. In 2017 6th International Conference on Space Mission Challenges for Information Technology (SMC-IT). IEEE, Alcala de Henares, 136–141. https://doi.org/10.1109/SMC-IT.2017.31
[5]
ARM. 2011. Cortex-R5 Technical Reference Manual. https://developer.arm.com/documentation/ddi0460/d Revision: r1p2.
[6]
Dario Asciolla, Luigi Dilillo, Douglas Santos, Douglas Melo, Alessandra Menicucci, and Marco Ottavi. 2020. Characterization of a RISC-V Microcontroller Through Fault Injection. In Applications in Electronics Pervading Industry, Environment and Society, Sergio Saponara and Alessandro De Gloria (Eds.). Springer International Publishing, Cham, 91–101. https://doi.org/10.1007/978-3-030-37277-4_11
[7]
Marcello Barbirotta, Abdallah Cheikh, Antonio Mastrandrea, Francesco Menichelli, and Mauro Olivieri. 2022. Design and Evaluation of Buffered Triple Modular Redundancy in Interleaved-Multi-Threading Processors. IEEE Access 10(2022), 126074–126088. https://doi.org/10.1109/ACCESS.2022.3225975
[8]
Francisco Bas, Sergi Alcaide, Ruben Lorenzo, Guillem Cabo, Guillermo Gil, Oriol Sala, Fabio Mazzocchetti, David Trilla, and Jaume Abella. 2021. SafeDE: a flexible Diversity Enforcement hardware module for light-lockstepping. In 2021 IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS). 1–7. https://doi.org/10.1109/IOLTS52814.2021.9486715 ISSN: 1942-9401.
[9]
Francisco Bas, Pedro Benedicte, Sergi Alcaide, Guillem Cabo, Fabio Mazzocchetti, and Jaume Abella. 2022. SafeDM: a Hardware Diversity Monitor for Redundant Execution on Non-Lockstepped Cores. In 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE). 358–363. https://doi.org/10.23919/DATE54114.2022.9774540 ISSN: 1558-1101.
[10]
Richard Berger, Steve Chadwick, Ernesto Chan, Richard Ferguson, Patrick Fleming, Jane Gilliam, Michael Graziano, Mary Hanley, Andrew Kelly, Marla Lassa, Bin Li, Robert Lapihuska, Joe Marshall, Hugh Miller, Dave Moser, Dan Pirkl, Dale Rickard, Jason Ross, Brian Saari, Dan Stanley, and Joe Stevenson. 2015. Quad-core radiation-hardened system-on-chip power architecture processor. In 2015 IEEE Aerospace Conference. IEEE, Big Sky, MT, USA, 1–12. https://doi.org/10.1109/AERO.2015.7119114 ISSN: 1095-323X.
[11]
Md Zakirul Alam Bhuiyan, Sy-yen Kuo, Damian Lyons, and Zili Shao. 2018. Dependability in Cyber-Physical Systems and Applications. ACM Transactions on Cyber-Physical Systems 3, 1 (Sept. 2018), 1:1–1:4. https://doi.org/10.1145/3271432
[12]
S. Bourdarie and M. Xapsos. 2008. The Near-Earth Space Radiation Environment. IEEE Transactions on Nuclear Science 55, 4 (2008), 1810–1832. https://doi.org/10.1109/TNS.2008.2001409
[13]
Jie Chen, Igor Loi, Eric Flamand, Giuseppe Tagliavini, Luca Benini, and Davide Rossi. 2023. Scalable Hierarchical Instruction Cache for Ultralow-Power Processors Clusters. IEEE Transactions on Very Large Scale Integration (VLSI) Systems (2023), 1–14. https://doi.org/10.1109/TVLSI.2022.3228336 Conference Name: IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[14]
Estelle Danard and Benoit Leroy. 2022. NG-Ultra: a system-on-chip suiting the upcoming space missions. https://dahlia-h2020.eu/wp-content/uploads/2022/07/DASIA_2022_NG-Ultra.pdf
[15]
Pasquale Davide Schiavone, Francesco Conti, Davide Rossi, Michael Gautschi, Antonio Pullini, Eric Flamand, and Luca Benini. 2017. Slow and steady wins the race? A comparison of ultra-low-power RISC-V cores for Internet-of-Things applications. In 2017 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS). IEEE, 1–8. https://doi.org/10.1109/PATMOS.2017.8106976
[16]
Stefano Di Mascio, Alessandra Menicucci, Eberhard Gill, Gianluca Furano, and Claudio Monteleone. 2021. On-Board Decision Making in Space with Deep Neural Networks and RISC-V Vector Processors. Journal of Aerospace Information Systems(June 2021), 1–17. https://doi.org/10.2514/1.I010916
[17]
Stefano Di Mascio, Alessandra Menicucci, Eberhard Gill, Gianluca Furano, and Claudio Monteleone. 2021. Open-source IP cores for space: A processor-level perspective on soft errors in the RISC-V era. Computer Science Review 39 (Feb. 2021), 100349. https://doi.org/10.1016/j.cosrev.2020.100349
[18]
Joshua Engel, Keith S Morgan, Michael J Wirthlin, and Paul S Graham. 2006. Predicting On-Orbit Static Single Event Upset Rates in Xilinx Virtex FPGAs. Faculty Publications 1307. Los Alamos National Laboratory. http://hdl.lib.byu.edu/1877/431
[19]
Shuguang Feng, Shantanu Gupta, Amin Ansari, and Scott Mahlke. 2010. Shoestring: probabilistic soft error reliability on the cheap. In Proceedings of the fifteenth International Conference on Architectural support for programming languages and operating systems (ASPLOS XV). Association for Computing Machinery, New York, NY, USA, 385–396. https://doi.org/10.1145/1736020.1736063
[20]
Gianluca Furano, Stefano Di Mascio, Alessandra Menicucci, and Claudio Monteleone. 2022. A European Roadmap to Leverage RISC-V in Space Applications. In 2022 IEEE Aerospace Conference (AERO). IEEE, Big Sky, MT, USA, 1–7. https://doi.org/10.1109/AERO53065.2022.9843361 ISSN: 1095-323X.
[21]
Gianluca Furano, Antonis Tavoularis, and Marco Rovatti. 2020. AI in space: applications examples and challenges. In 2020 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT). IEEE, Frascati, Italy, 1–6. https://doi.org/10.1109/DFT50435.2020.9250908
[22]
Michael Gautschi, Pasquale Davide Schiavone, Andreas Traber, Igor Loi, Antonio Pullini, Davide Rossi, Eric Flamand, Frank K. Gürkaynak, and Luca Benini. 2017. Near-Threshold RISC-V Core With DSP Extensions for Scalable IoT Endpoint Devices. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25, 10(Oct. 2017), 2700–2713. https://doi.org/10.1109/TVLSI.2017.2654506
[23]
Ran Ginosar. 2012. SURVEY OF PROCESSORS FOR SPACE. (2012).
[24]
Ran Ginosar, Peleg Aviely, Tsvika Israeli, and Henri Meirov. 2016. RC64: High performance rad-hard manycore. In 2016 IEEE Aerospace Conference. IEEE, Big Sky, MT, USA, 1–9. https://doi.org/10.1109/AERO.2016.7500697
[25]
Christos Gkiokas and Martin Schoeberl. 2019. A Fault-Tolerant Time-Predictable Processor. In 2019 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC). 1–6. https://doi.org/10.1109/NORCHIP.2019.8906947
[26]
Florian Glaser, Giuseppe Tagliavini, Davide Rossi, Germain Haugou, Qiuting Huang, and Luca Benini. 2021. Energy-Efficient Hardware-Accelerated Synchronization for Shared-L1-Memory Multiprocessor Clusters. IEEE Transactions on Parallel and Distributed Systems 32, 3 (March 2021), 633–648. https://doi.org/10.1109/TPDS.2020.3028691 Conference Name: IEEE Transactions on Parallel and Distributed Systems.
[27]
OpenHW Group. 2023. OpenHW Group | OpenHW Group. https://www.openhwgroup.org/
[28]
Sukrat Gupta, Neel Gala, G. S. Madhusudan, and V. Kamakoti. 2015. SHAKTI-F: A Fault Tolerant Microprocessor Architecture. In 2015 IEEE 24th Asian Test Symposium (ATS). 163–168. https://doi.org/10.1109/ATS.2015.35 ISSN: 2377-5386.
[29]
Magnus Hijorth, Martin Aberg, Nils-Johan Wessman, Jan Andersson, Remy Chevallier, Russel Forsyth, Rolad Weigand, and Luca Fossati. 2015. GR740: Rad-Hard Quad-Core LEON4FT System-on-Chip. In Programme and Abstracts Book of the DASIA 2015 Conference, Vol.  732. ESA, Barcelona, Spain, 7. https://ui.adsabs.harvard.edu/abs/2015ESASP.732E...7H Conference Name: DASIA 2015 - DAta Systems in Aerospace ADS Bibcode: 2015ESASP.732E...7H.
[30]
Infineon. 2016. AURIX—TriCore Datasheet. Highly Integrated and Performance Optimized 32-Bit Microcontrollers for Automotive and Industrial Applications. https://www.infineon.com/dgdl/TriCore_Family_BR-2016_web.pdf?fileId=5546d46152e4636f0152e59a1581001d
[31]
Xabier Iturbe, Balaji Venu, and Emre Ozer. 2016. Soft error vulnerability assessment of the real-time safety-related ARM Cortex-R5 CPU. In 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT). IEEE, Storrs, CT, USA, 91–96. https://doi.org/10.1109/DFT.2016.7684076 ISSN: 2377-7966.
[32]
Xabier Iturbe, Balaji Venu, Emre Ozer, Jean-Luc Poupat, Gregoire Gimenez, and Hans-Ulrich Zurek. 2019. The Arm Triple Core Lock-Step (TCLS) Processor. ACM Transactions on Computer Systems 36, 3 (Aug. 2019), 1–30. https://doi.org/10.1145/3323917
[33]
Pushpak Jagtap, Fardin Abdi, Matthias Rungger, Majid Zamani, and Marco Caccamo. 2020. Software Fault Tolerance for Cyber-Physical Systems via Full System Restart. ACM Transactions on Cyber-Physical Systems 4, 4 (Aug. 2020), 47:1–47:20. https://doi.org/10.1145/3407183
[34]
Fabian Kempf, Thomas Hartmann, Steffen Baehr, and Juergen Becker. 2021. An Adaptive Lockstep Architecture for Mixed-Criticality Systems. In 2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). IEEE, Tampa, FL, USA, 7–12. https://doi.org/10.1109/ISVLSI51109.2021.00013 ISSN: 2159-3477.
[35]
Andrew T. Klesh, James W. Cutler, and Ella M. Atkins. 2012. Cyber-Physical Challenges for Space Systems. In 2012 IEEE/ACM Third International Conference on Cyber-Physical Systems. 45–52. https://doi.org/10.1109/ICCPS.2012.13
[36]
Andreas Kurth, Alessandro Capotondi, Pirmin Vogel, Luca Benini, and Andrea Marongiu. 2018. HERO: an open-source research platform for HW/SW exploration of heterogeneous manycore systems. In Proceedings of the 2nd Workshop on AutotuniNg and aDaptivity AppRoaches for Energy efficient HPC Systems. ACM, Limassol Cyprus, 1–6. https://doi.org/10.1145/3295816.3295821
[37]
Steven Leibson. 2023. NASA Recruits Microchip, SiFive, and RISC-V to Develop 12-Core Processor SoC for Autonomous Space Missions. EEJournal (Jan. 2023). https://www.eejournal.com/article/nasa-recruits-microchip-sifive-and-risc-v-to-develop-12-core-processor-soc-for-autonomous-space-missions/
[38]
Jiemin Li, Shancong Zhang, and Chong Bao. 2021. DuckCore: A Fault-Tolerant Processor Core Architecture Based on the RISC-V ISA. Electronics 11, 1 (Dec. 2021), 122. https://doi.org/10.3390/electronics11010122
[39]
Krzysztof Marcinek and Witold A. Pleskacz. 2023. Variable Delayed Dual-Core Lockstep (VDCLS) Processor for Safety and Security Applications. Electronics 12, 2 (Jan. 2023), 464. https://doi.org/10.3390/electronics12020464 Number: 2 Publisher: Multidisciplinary Digital Publishing Institute.
[40]
Helmut Martin, Kurt Tschabuschnig, Olof Bridal, and Daniel Watzenig. 2017. Functional Safety of Automated Driving Systems: Does ISO 26262 Meet the Challenges?In Automated Driving, Daniel Watzenig and Martin Horn (Eds.). Springer International Publishing, Cham, 387–416. https://doi.org/10.1007/978-3-319-31895-0_16
[41]
Fabio Montagna, Giuseppe Tagliavini, Davide Rossi, Angelo Garofalo, and Luca Benini. 2021. Streamlining the OpenMP Programming Model on Ultra-Low-Power Multi-core MCUs. In Architecture of Computing Systems (Lecture Notes in Computer Science), Christian Hochberger, Lars Bauer, and Thilo Pionteck (Eds.). Springer International Publishing, Cham, 167–182. https://doi.org/10.1007/978-3-030-81682-7_11
[42]
Vimal Reddy and Eric Rotenberg. 2007. Inherent Time Redundancy (ITR): Using Program Repetition for Low-Overhead Fault Tolerance. In 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN’07). IEEE, Edinburgh, UK, 307–316. https://doi.org/10.1109/DSN.2007.59
[43]
Dominik Reinhardt, Udo Dannebaum, Michael Scheffer, and Matthias Traub. 2019. High Performance Processor Architecture for Automotive Large Scaled Integrated Systems within the European Processor Initiative Research Project. 2019–01–0118. https://doi.org/10.4271/2019-01-0118
[44]
Michael Rogenmoser, Nils Wistoff, Pirmin Vogel, Frank Gürkaynak, and Luca Benini. 2022. On-Demand Redundancy Grouping: Selectable Soft-Error Tolerance for a Multicore Cluster. In 2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). IEEE, Nicosia, Cyprus, 398–401. https://doi.org/10.1109/ISVLSI54635.2022.00089 ISSN: 2159-3477.
[45]
Davide Rossi, Francesco Conti, Andrea Marongiu, Antonio Pullini, Igor Loi, Michael Gautschi, Giuseppe Tagliavini, Alessandro Capotondi, Philippe Flatresse, and Luca Benini. 2015. PULP: A parallel ultra low power platform for next generation IoT applications. In 2015 IEEE Hot Chips 27 Symposium (HCS). IEEE, Cupertino, CA, USA, 1–39. https://doi.org/10.1109/HOTCHIPS.2015.7477325
[46]
Kashif Shahzad, Ayesha Kausar, Saima Manzoor, Sobia A. Rakha, Ambreen Uzair, Muhammad Sajid, Afsheen Arif, Abdul Faheem Khan, Abdoulaye Diallo, and Ishaq Ahmad. 2022. Views on Radiation Shielding Efficiency of Polymeric Composites/Nanocomposites and Multi-Layered Materials: Current State and Advancements. Radiation 3, 1 (Dec. 2022), 1–20. https://doi.org/10.3390/radiation3010001
[47]
Jianhua Shi, Jiafu Wan, Hehua Yan, and Hui Suo. 2011. A survey of Cyber-Physical Systems. In 2011 International Conference on Wireless Communications and Signal Processing (WCSP). 1–6. https://doi.org/10.1109/WCSP.2011.6096958
[48]
Satyam Shukla and Kailash Chandra Ray. 2022. A Low-Overhead Reconfigurable RISC-V Quad-Core Processor Architecture for Fault-Tolerant Applications. IEEE Access 10(2022), 44136–44146. https://doi.org/10.1109/ACCESS.2022.3169495
[49]
Igor Silva, Otávio do Espírito Santo, Diego do Nascimento, and Samuel Xavier-de Souza. 2020. CEVERO: A soft-error hardened SoC for aerospace applications. Anais Estendidos do Simpósio Brasileiro de Engenharia de Sistemas Computacionais (SBESC) (Nov. 2020), 121–126. https://doi.org/10.5753/sbesc_estendido.2020.13100
[50]
Armen Toorian, Ken Diaz, and Simon Lee. 2008. The CubeSat Approach to Space Access. In 2008 IEEE Aerospace Conference. 1–14. https://doi.org/10.1109/AERO.2008.4526293 ISSN: 1095-323X.
[51]
J. A. Van Allen, G. H. Ludwig, E. C. Ray, and C. E. McILWAIN. 1958. Observation of High Intensity Radiation by Satellites 1958 Alpha and Gamma. Journal of Jet Propulsion 28, 9 (Sept. 1958), 588–592. https://doi.org/10.2514/8.7396
[52]
Eduardo Weber Wachter, Server Kasap, Xiaojun Zhai, Shoaib Ehsan, and Klaus McDonald-Maier. 2019. Survey of Lockstep based Mitigation Techniques for Soft Errors in Embedded Systems. In 2019 11th Computer Science and Electronic Engineering (CEEC). IEEE, Colchester, United Kingdom, 124–127. https://doi.org/10.1109/CEEC47804.2019.8974333
[53]
A. Walsemann, M. Karagounis, A. Stanitzki, and D. Tutsch. 2023. STRV — a radiation hard RISC-V microprocessor for high-energy physics applications. Journal of Instrumentation 18, 02 (Feb. 2023), C02032. https://doi.org/10.1088/1748-0221/18/02/C02032
[54]
Junyong Wei and Suzhi Cao. 2019. Application of Edge Intelligent Computing in Satellite Internet of Things. In 2019 IEEE International Conference on Smart Internet of Things (SmartIoT). IEEE, Tianjin, China, 85–91. https://doi.org/10.1109/SmartIoT.2019.00022
[55]
Nils-Johan Wessman, Fabio Malatesta, Stefano Ribes, Jan Andersson, Antonio García-Vilanova, Miguel Masmano, Vicente Nicolau, Paco Gomez, Jimmy Le Rhun, Sergi Alcaide, Guillem Cabo, Francisco Bas, Pedro Benedicte, Fabio Mazzocchetti, and Jaume Abella. 2022. De-RISC: A Complete RISC-V Based Space-Grade Platform. In 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, Antwerp, Belgium, 802–807. https://doi.org/10.23919/DATE54114.2022.9774557 ISSN: 1558-1101.
[56]
D.C. Wilkinson, S.C. Daughtridge, J.L. Stone, H.H. Sauer, and P. Darling. 1991. TDRS-1 single event upsets and the effect of the space environment. IEEE Transactions on Nuclear Science 38, 6 (Dec. 1991), 1708–1712. https://doi.org/10.1109/23.124166
[57]
Guoqi Xie, Gang Zeng, Jiyao An, Renfa Li, and Keqin Li. 2018. Resource-Cost-Aware Fault-Tolerant Design Methodology for End-to-End Functional Safety Computation on Automotive Cyber-Physical Systems. ACM Transactions on Cyber-Physical Systems 3, 1 (Sept. 2018), 4:1–4:27. https://doi.org/10.1145/3162052
[58]
Ying Zhang and Krishnendu Chakrabarty. 2003. Fault recovery based on checkpointing for hard real-time embedded systems. In Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems. 320–327. https://doi.org/10.1109/DFTVS.2003.1250127 ISSN: 1550-5774.
[59]
J. F. Ziegler and W. A. Lanford. 1979. Effect of Cosmic Rays on Computer Memories. Science 206, 4420 (1979), 776–788. https://doi.org/10.1126/science.206.4420.776

Cited By

View all
  • (2024)Microarchitecturally Exploring Fault-Tolerance and Timing on Silicon on Chip2024 International Conference on Optimization Computing and Wireless Communication (ICOCWC)10.1109/ICOCWC60930.2024.10470751(1-5)Online publication date: 29-Jan-2024
  • (2024)Dynamic Triple Modular Redundancy in Interleaved Hardware Threads: An Alternative Solution to Lockstep Multi-Cores for Fault-Tolerant SystemsIEEE Access10.1109/ACCESS.2024.342557912(95720-95735)Online publication date: 2024
  • (2024)A RISC-V Fault-Tolerant Soft-Processor Based on Full/Partial Heterogeneous Dual-Core ProtectionIEEE Access10.1109/ACCESS.2024.336680612(30495-30506)Online publication date: 2024
  • Show More Cited By

Index Terms

  1. Hybrid Modular Redundancy: Exploring Modular Redundancy Approaches in RISC-V Multi-Core Computing Clusters for Reliable Processing in Space

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Transactions on Cyber-Physical Systems
        ACM Transactions on Cyber-Physical Systems Just Accepted
        EISSN:2378-9638
        Table of Contents
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Journal Family

        Publication History

        Online AM: 30 November 2023
        Accepted: 16 November 2023
        Revised: 14 November 2023
        Received: 08 March 2023

        Check for updates

        Qualifiers

        • Research-article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)265
        • Downloads (Last 6 weeks)70
        Reflects downloads up to 14 Oct 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)Microarchitecturally Exploring Fault-Tolerance and Timing on Silicon on Chip2024 International Conference on Optimization Computing and Wireless Communication (ICOCWC)10.1109/ICOCWC60930.2024.10470751(1-5)Online publication date: 29-Jan-2024
        • (2024)Dynamic Triple Modular Redundancy in Interleaved Hardware Threads: An Alternative Solution to Lockstep Multi-Cores for Fault-Tolerant SystemsIEEE Access10.1109/ACCESS.2024.342557912(95720-95735)Online publication date: 2024
        • (2024)A RISC-V Fault-Tolerant Soft-Processor Based on Full/Partial Heterogeneous Dual-Core ProtectionIEEE Access10.1109/ACCESS.2024.336680612(30495-30506)Online publication date: 2024
        • (2023)A Survey of Recent Developments in Testability, Safety and Security of RISC-V Processors2023 IEEE European Test Symposium (ETS)10.1109/ETS56758.2023.10174099(1-10)Online publication date: 22-May-2023

        View Options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Get Access

        Login options

        Full Access

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media