Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                

Design and Fabrication of Micromachined Silicon Suspensions: © Sunil Kumar, 2007

Download as pdf or txt
Download as pdf or txt
You are on page 1of 330

Design and Fabrication of Micromachined

Silicon Suspensions

Sunil Kumar

B.Tech.(H), Indian Institute of Technology, Kharagpur 1999

M.S., University of California, Irvine 2000

A dissertation submitted in fulfilment of the requirements for the degree of

Doctor of Philosophy of the University of London

Diploma of Imperial College London

Optical and Semiconductor Device Group

Department of Electrical and Electronic Engineering

Imperial College, London

May 2007

© Sunil Kumar, 2007


Abstract

This thesis presents the design and fabrication of a low noise lateral silicon suspension

for seismic sensing. Optimizing for the sensor size, and performance, a lateral

suspension is fabricated with a fundamental resonant frequency of 10 Hz, a quality

factor of up to 40000, and Brownian noise equivalent acceleration (NEA) of 0.2

ng/√Hz.

Low-noise, low-frequency (ω) and high-sensitivity inertial sensors are used to measure

the displacement of the Earth’s crust. Typical high performance seismometers are few

kilograms in weight with NEA in the sub-nano-g range. The large size and high cost of

current-state of the art seismometers however presents a barrier to its widespread use.

The ability of seismometer to resolve vibrations is set by the self-noise of the system so

to detect low level vibrations the self-noise of the sensor should be lower than the

vibration being measured. The product of mass, period and quality factor is inversely

proportional to the self-noise power spectrum of the sensor. Even though there are

miniaturized vibration sensors like micromachined accelerometers, they have large self-

noise due to the small mass and short period of the sensor.

To realize a micro seismometer with NEA near the low noise seismicity model, a

single-axis silicon suspension was designed to be fabricated using through-wafer

etching. Frames were placed between sets of springs to increase cross-axis spurious

mode rejection. Analytical and numerical models are developed to simulate the

suspension dynamics.

i
Fabricating high-aspect-ratio structures by through-wafer etching is made possible by

the use of deep reactive ion etching (DRIE). The springs have an overall vertical profile

with some bowing at mid-depth which is accounted for in the dynamical design. Etch

parameters have been optimized to improve sidewall smoothness, resulting in higher

fracture strength and Q. Deleterious artifacts of DRIE plasma processing are analyzed

and reduced or eliminated by process engineering.

A first prototype single-axis micro seismometer was demonstrated by assembling the

electromechanical components. The microseismometer has a Q of 252 leading to an

NEA of 0.4 ng/√Hz at a resonant frequency of 12.65 Hz. Electronic readout of resonant

frequencies shows a frequency difference of 100 Hz between the fundamental and next

mode.

The suspension developed here can be utilized for other sensing applications. Through-

wafer DRIE has been shown to be a reliable process for creating very high-Q, low-

frequency suspensions with excellent cross-axis separation.

ii
Table of contents

List of Figures ..................................................................................................................vi


List of Tables .................................................................................................................xiv
Acknowledgements .........................................................................................................xv
1 Introduction ...............................................................................................................1
1.1 Background .......................................................................................................2
1.2 Earth Noise........................................................................................................8
1.3 Instrument Noise .............................................................................................10
1.4 Micromachined Accelerometers .....................................................................14
1.5 Silicon Suspension ..........................................................................................17
1.6 Summary .........................................................................................................21
1.7 Research Contributions and Scope..................................................................23
1.8 Organisation of this Dissertation.....................................................................25
1.9 Bibliography....................................................................................................27
2 Suspension Design and Feasibility Study ...............................................................30
2.1 Suspension Noise ............................................................................................31
2.2 Key Parameters affecting Self-noise...............................................................31
2.2.1 Resonant frequency.................................................................................32
2.2.2 Quality factor ..........................................................................................34
2.2.3 Proof-mass ..............................................................................................37
2.2.4 Cross-axis sensitivity ..............................................................................37
2.3 Suspension: Generic Design Principle ............................................................38
2.3.1 Homogenous triaxial configuration ........................................................41
2.4 Design Inputs ..................................................................................................43
2.5 Micromachining Process Design Limitations .................................................50
2.6 Feasibility Study .............................................................................................52
2.7 Summary .........................................................................................................53
2.8 Conclusion ......................................................................................................54
2.9 Bibliography....................................................................................................56
3 Suspension Dynamics .............................................................................................58
3.1 Introduction .....................................................................................................59
3.2 Lateral Suspension ..........................................................................................60
3.2.1 On-axis compliance.................................................................................63
3.2.2 Cross-axis translational compliance........................................................65
3.2.3 Rotational compliance.............................................................................67
3.2.4 Rejection ratios of spurious modes .........................................................70
3.3 Multiple Spring Suspension ............................................................................72
3.3.1 Effect of spring mass...............................................................................77
3.3.2 Rejection ratios of spurious modes .........................................................80
3.3.3 Vertical deflection under gravity ............................................................82
3.4 Intermediate Frames for Multiple Spring Suspension ....................................84
3.4.1 Rejection ratio of spurious modes...........................................................87
3.4.2 Comparison of modes for frameless and with-frame suspension ...........92
3.4.3 Vertical sag under gravity .......................................................................93
3.5 Effect of Linkage Geometry............................................................................95
3.6 Effect of End Connector (Elbow) Stiffness ....................................................97
3.7 Optimum Design Parameters ........................................................................102
3.8 Summary .......................................................................................................104

iii
3.9 Conclusion ....................................................................................................106
3.10 Bibliography..................................................................................................108
4 Suspension: Fabrication ........................................................................................110
4.1 Introduction ...................................................................................................111
4.2 Deep Reactive Ion Etching (DRIE)...............................................................112
4.2.1 Applications of DRIE............................................................................115
4.3 Fabrication Process Flow ..............................................................................116
4.3.1 System description ................................................................................117
4.3.2 Mask preparation...................................................................................119
4.3.3 Pattern transfer ......................................................................................122
4.3.4 Through-wafer etch...............................................................................124
4.3.5 Wafer mounting techniques ..................................................................126
4.4 DRIE Process Parameters .............................................................................129
4.5 DRIE Induced Artefacts................................................................................132
4.5.1 Grassing ................................................................................................132
4.5.2 Etch lag .................................................................................................134
4.5.3 Loading effect .......................................................................................136
4.5.4 Top edge cavitations .............................................................................137
4.5.5 Etch masking.........................................................................................138
4.5.6 Scalloping..............................................................................................138
4.5.7 Sidewall roughness................................................................................139
4.5.8 Etch profile evolution............................................................................149
4.5.9 Notching................................................................................................154
4.6 Process Engineering ......................................................................................168
4.6.1 Process description................................................................................171
4.6.2 Fabricated devices.................................................................................172
4.7 Summary .......................................................................................................178
4.8 Conclusion ....................................................................................................179
4.9 Bibliography..................................................................................................181
5 Suspension: Characterzation .................................................................................187
5.1 Measurement Techniques .............................................................................188
5.1.1 Resonant frequencies ............................................................................188
5.1.2 Quality factor ........................................................................................192
5.2 Experimental Work .......................................................................................193
5.2.1 Resonant frequencies ............................................................................194
5.2.2 Quality factor at varying pressure .........................................................197
5.3 Effect of Etch Profile Shape on Suspension Dynamics ................................200
5.3.1 DRIE etch profile ..................................................................................201
5.3.2 Spring profile characterization..............................................................202
5.3.3 Analytical model ...................................................................................204
5.3.4 Compensation for a non-ideal etch profile............................................208
5.4 Summary .......................................................................................................213
5.5 Conclusion ....................................................................................................214
5.6 Bibliography..................................................................................................216
6 Microseismometer: Prototype ...............................................................................217
6.1 Seismometer..................................................................................................218
6.2 Lateral Differential Capacitive Array Transducer ........................................220
6.3 Feedback Controller ......................................................................................222
6.4 Electromagnetic Actuator .............................................................................223
6.5 Components of Microseismometer ...............................................................225

iv
6.5.1 Proof-mass wafer ..................................................................................225
6.5.2 Capping wafer .......................................................................................234
6.5.3 Magnetic unit ........................................................................................238
6.6 Device Assembly ..........................................................................................241
6.6.1 Solder reflow.........................................................................................241
6.6.2 Glass frit bonding..................................................................................244
6.6.3 Vacuum encapsulation ..........................................................................244
6.7 Microseismometer Damping.........................................................................245
6.8 Experimental Result ......................................................................................247
6.8.1 Experimental measurement setup .........................................................248
6.8.2 Microseismometer dynamics measurements.........................................249
6.8.3 Vibration and shock test........................................................................251
6.9 Other Issues...................................................................................................258
6.10 Summary .......................................................................................................258
6.11 Conclusion ....................................................................................................260
6.12 Bibliography..................................................................................................261
7 Conclusions and Future Work...............................................................................262
7.1 Microseismometer Characterization .............................................................264
7.1.1 Alternate designs...................................................................................264
7.1.2 Fully electrostatic geophone .................................................................264
7.2 Modelling Extension .....................................................................................265
7.2.1 Application to other inertial sensors .....................................................266
7.2.2 Application to other devices..................................................................267
7.3 Applications of Through-Wafer DRIE..........................................................267
7.3.1 Other through-wafer devices.................................................................267
7.3.2 Silicon molding .....................................................................................268
7.3.3 Single mask through-wafer devices ......................................................272
7.3.4 SOI replacement through-wafer devices...............................................273
7.4 Bibliography..................................................................................................275
Appendix A: Analytical model .....................................................................................276
A1. Translational Modes...........................................................................................276
A1.1 On-axis compliant stiffness (kx): ..................................................................276
A1.2 Cross-axis spring constant along Y-axis (ky): ...............................................277
A1.3 Cross-axis spring constant along Z-axis (kz): ...............................................278
A2. Rotational Modes ...............................................................................................280
A2.1 On-axis rotational mode (kα): .......................................................................280
A2.2 Cross-axis rotational mode about Y-axis (kβ): ..............................................282
A2.3 Cross-axis rotational mode about Z-axis (kγ): ..............................................284
A3. Bibliography.......................................................................................................285
Appendix B: Numerical model .....................................................................................286
B1. Finite Element Analysis (FEA) ..........................................................................286
B2. Isotropic vs. Anisotropic model .........................................................................287
B3. Modal analysis using ANSYS ............................................................................287
B4. Input files for FEA using ANSYS......................................................................288
B5. Bibliography .......................................................................................................307
Appendix C: Fabrication process flow..........................................................................308
C1. Process Flow for Microseismometer Sensor Unit ..............................................308
C2. DRIE Processing of Lateral Suspensions ...........................................................309

v
List of Figures

Figure 1.1 Wang Chen-To’s visualization of Chang Teng’s 132 A.D. design of a seismoscope. Image
taken from [1.5]. .......................................................................................................................................... 3
Figure 1.2 Principle of seismometer: the mass is almost stationary due to inertia while the frame moves
with the ground [1.1]. .................................................................................................................................. 5
Figure 1.3 State-of-the-art commercial seismometers, (a) Streckheisen STS-2, (b) Guralp CMG-40T, (c)
Guralp CMG-3T without outer housing, (d) Sercel L-4, (e) Geotech KS-2000 borehole seismometer, and
(f) Nanometrics Trillium 120P. These are all big, heavy and expensive...................................................... 6
Figure 1.4 The USGS New Low Noise Model [1.17], here expressed as Noise Estimated Acceleration in
m/s2/√Hz of ground motion relative to frequency. Mechanical noise levels are plotted for the proposed
microseismometer. ....................................................................................................................................... 9
Figure 1.5 Comparison of noise and resonant frequency of seismometers and a variety of commercial and
research accelerometers (datasheet, [1.14, 19, 20]).................................................................................. 12
Figure 1.6 Comparison of noise and volume for traditional seismometer and MEMS accelerometers
(datasheet, [1.14, 19, 20]).......................................................................................................................... 13
Figure 1.7 Commercial MEMS accelerometers with sub μg noise levels, (a) Honeywell Q-flex QA3000
with an exploded view of the sensor and its suspension, and (b) Sercel/Tronics GPU3 with SEM of the
suspension. ................................................................................................................................................. 14
Figure 1.8 MEMS accelerometers developed by research groups, (a,b) A 1.0 μg/√Hz self-noise
accelerometer developed by Bernsetin et al. [1.22] at Charles Stark Draper Laboratory, (c,d) Parylene
based low-noise accelerometer developed by Suzuki and Tai [1.23] at University of Tokyo, and (e,f) one
of the very first miniaturized accelerometer developed at Stanford university by Roylance and Angell
[1.26]. ........................................................................................................................................................ 16
Figure 1.9 (a) Lateral suspension used in Analog Devices ADXL series accelerometers fabricated by
LPCVD deposition of polysilicon and then RIE etch [1.35], (b) Vertical suspension created by
anisotropically etching silicon by KOH (Potassium hydroxide) [1.21], and (c) Leaf spring part of a
lateral suspension fabricated by DRIE of silcon bonded to an underlying silicon substrate [1.36].......... 19
Figure 1.10 DRIE devices (a) Endevco accelerometer [1.41], (b) Extended travel range microactuator
[1.42]. ........................................................................................................................................................ 20

Figure 2.1 Schematic of a mass-spring-damper system. ............................................................................ 32


Figure 2.2 Response vs. frequency for a resonating system showing the bandwidth of the systems defined
as the frequency width at ½ total energy of the signal. .............................................................................. 35
Figure 2.3 An oscillating system with exponential decay of amplitude in time. Decay time constant, τ is
the time it takes for the amplitude to decay to 1/e times its initial value.................................................... 36
Figure 2.4 A simple suspension with folded cantilever beams as spring on either side of a proof-mass
attached to a fixed external frame. The pair of springs on either side of the suspension only allows dual
planes of mirror symmetry about xy and xz planes as shown by the arrow in the middle of the proof-mass.
.................................................................................................................................................................... 39
Figure 2.5 A center-of-gravity suspension design with symmetry along all the three axes. The joint folded
cantilever pairs on either side of the proof-mass provide symmetry about xy, yz and zx planes. Symmetry
in the lateral plane is shown by the arrows in the middle of the proof-mass. The cantilever pairs are
linked at the center to increase the stiffness compared to an unconnected pair. ....................................... 40
Figure 2.6 Homogenous triaxial configuration also called Galperin configuration (Source: [2.15]). u, v,
w are the responses of the sensor along the Galperin axes UVW. ............................................................. 41
Figure 2.7 (a) A typical single spring suspension with preformed springs, (b) showing the off-centred
proof-mass in horizontal position and (c) Centring of the proof-mass under gravity in Galperin
orientation.................................................................................................................................................. 43
Figure 2.8 Schematic of the suspension showing the proof-mass and spring dimensions. ........................ 44
Figure 2.9 Variation of natural frequency with die size. Doubling the die length and width (quadrupling
the area) decreases the resonant frequency by 4√2. .................................................................................. 45

vi
Figure 2.10 Variation of mechanical noise with resonant frequency for varying Q.................................. 46
Figure 2.11 Schematic of the suspension design with one spring and mass which is assumed to be 50% of
the die area for initial estimates................................................................................................................. 47
Figure 2.12 Variation of resonant mode with spring thickness for a 20mm×20mm die size and
10mm×16mm proof-mass........................................................................................................................... 49
Figure 2.13 Metal traces running on the springs connecting the electromagnetic feedback coil and
electrostatic capacitive feedback electrodes. ............................................................................................. 50
Figure 2.14 Quality factor (due to squeeze film damping), Qsqueeze variation for multiple spring suspension
(N). ............................................................................................................................................................. 53

Figure 3.1 Schematic of a lateral suspension illustrating the model geometry and the critical dimensions.
.................................................................................................................................................................... 60
Figure 3.2 Schematic of a single spring set for the lateral suspension showing the geometry and critical
dimensions.................................................................................................................................................. 63
Figure 3.3 Fundamental mode shape of a single spring set lateral suspension. The proof-mass is
resonating along the X-axis........................................................................................................................ 64
Figure 3.4 ωy, Mode shape of a single spring set suspension resonating along Y-axis. ............................ 65
Figure 3.5 ωz, Mode shape of a single spring set suspension resonating along Z-axis. ............................ 66
Figure 3.6 ωα, Mode shape of a single spring set suspension resonating about X-axis............................. 68
Figure 3.7 ωβ, Mode shape of a single spring set suspension resonating about Y-axis. ............................ 69
Figure 3.8 ωγ, Mode shape of a single spring set suspension resonating about the Z-axis. ...................... 70
Figure 3.9 Schematic of a lateral suspension with multiple springs, (a) a lateral suspension with n set of
spring, and (b) a specific lateral suspension with two (n=2) spring sets................................................... 72
Figure 3.10 FEA (Finite Element Analysis) model of a lateral suspension with two set of springs solved
using Ansys. The inset shows the arrangement of the nodes and elements in the model............................ 74
Figure 3.11 The transition elements are designed such that the nodes at the common boundary are
coincident and there is no extreme size change across structures. ............................................................ 75
Figure 3.12 Fundamental resonant frequency for lateral suspension with varying number of spring sets.
The values are calculated using the analytical expression from equation 3.20 and compared against FEA
derived values. The deviation of the analytical model from the FEA model can be attributed to the mass of
the suspension and the effect of end-connector geometry. ......................................................................... 76
Figure 3.13 To model the first on-axis harmonic ωx1, the spring units of the lateral suspension can be
considered as discrete masses separated by massless springs. M is the mass of the proof-mass, the spring
are considered as discrete masses m with massless springs of stiffness k connecting them....................... 78
Figure 3.14 Plot of the first spurious mode along X-axis (ωx1) with increasing number of spring sets (n).
With larger number of spring sets the analytical model approaches the FEA model. ............................... 80
Figure 3.15 Rejection ratio of spurious modes calculated using FEA model for lateral suspension with 1,
2, 3, 4, 5 and 6 sets of springs. The rejection ratio drops significantly for multiple springs except for the
rotational mode about X-axis..................................................................................................................... 81
Figure 3.16 Logarithmic plot of vertical sag of a lateral suspension in horizontal and Galperin
configuration. The sag due to gravity increases with higher number of springs. ...................................... 83
Figure 3.17 Schematic of a lateral suspension with a single intermediate frame between two set of
springs. The intermediate frame decouples the additional spring sets....................................................... 85
Figure 3.18 Plot of ωx1/ωx for lateral suspension with n (one to six) spring sets and (n-1) intermediate
frames. The FEA model used to calculate the rejection ratio is based on the model parameters of Table
3.2 with a frame thickness (f) of 60 μm...................................................................................................... 87
Figure 3.19 Plot of rejection ratio for lateral suspension with multiple springs calculated using analytical
expressions from Table 3.3......................................................................................................................... 88
Figure 3.20 Plot of rejection ratio for lateral suspension with multiple springs calculated using a FEA
model.......................................................................................................................................................... 89
Figure 3.21 Error between the FEA model and analytical expressions for the rejection ratios................ 90

vii
Figure 3.22 Plot of rejection ratio for lateral suspension with three spring sets and two intermediate
frames of varying thickness. Most of the rejection ratio except ωx1/ωx improves with thicker frames
though the gain is marginal beyond a certain thickness. ........................................................................... 91
Figure 3.23 (a) Reinforced intermediate frames to reduce the weight of the frame while maintain higher
rigidity of a thicker frame, as compared to (b) Solid intermediate frame which reduces the on-axis
rejection ratio due to the higher weight of the spring-frame unit. ............................................................. 91
Figure 3.24 Comparison of the rejection ratio for a model lateral suspension with multiple springs
without frames and with frames. (a) Log-log variation as calculated by FEA of the rejection ratio for the
spurious modes as the number of suspension units is increased from 1 to 6. Three fits for the rejection
ratio are shown: for x1, 1/√(n); for α, independent of n; for z, 1/n, and (b) Log-log variation as calculated
by FEA of the rejection ratio for the spurious modes of a suspension incorporating intermediate frames
as the number of suspension units is increased from 1 to 6. Fits for the rejection ratio are shown: for x1,
1/√(n); for α, independent of n. .................................................................................................................. 92
Figure 3.25 Effect of intermediate frames on the vertical sag of proof mass under gravity, (a) multiple
spring set suspension under gravity with equal deflection of all spring beams as shown in the cross-
section view, as compared to (b) multiple spring set suspension with intermediate frame showing a
reduction in vertical sag under gravity due to the intermediate frame. ..................................................... 93
Figure 3.26 Reduction ratio for vertical sag under gravity for multiple spring suspension with
intermediate frames as compared to frameless suspensions. ..................................................................... 94
Figure 3.27 Effect of link width on rejection ratio of the suspension......................................................... 95
Figure 3.28 Maximum stress at the sharp corner of the linkage shown by the red pointer in the globe.... 96
Figure 3.29 (a) Link design with sharp corners, (b) Link design with corner filleting. ............................. 96
Figure 3.30 Schematic of spring element showing the end connector. ...................................................... 97
Figure 3.31 Distortion of the spring beam under an out-of-plane force. The forces acting at the opposite
ends of the elbow causes a torque which leads to an angular rotation of θ............................................... 98
Figure 3.32 Deflection of the spring beams depending on elbow rigidity, (a) completely rigid elbow with c
= 1 where the bending is that for a fixed-free cantilever and (b) completely flexible elbow with c = 4
where the bending is representative of a fixed-guided cantilever. ............................................................. 99
Figure 3.33 Plot of ωz and zsag,g from expression in Table 3.5. ................................................................ 101

Figure 4.1 Passivation and etch cycle in a typical DRIE step. (a) Isotropic etch of silicon in exposed
regions on the wafer, (b) Deposition of polymer CFn on all surfaces, (c) Preferential etching of polymer
from the bottom of the trench by vertically directed positive ions, and (d) Isotropic etching of the trench
bottom by fluorine radicals. ..................................................................................................................... 113
Figure 4.2 Model for inductively coupled plasma etch showing the positive and negative species in the
plasma, their energy angular distribution function (ADF) and the potential drop across the sheath which
accelerates the positive ions..................................................................................................................... 114
Figure 4.3 DRIE devices (a) Actuator-mirror structure fabricated on SOI (Prof. de Rooji, IMT), (b)
MicroSEM deflector structure (Prof. de Rooji, IMT), (c) A MEMS gyroscope fabricated on SOI (silicon-
on-insulator), and (d) Micro-machined intracellular needle [4.15], pillar etched using DRIE and then
post processed to achieve the sharp tip.................................................................................................... 116
Figure 4.4 (a) STS DRIE Kit [4.18], (b) STS ICP Multiplex process chamber Schematic (Source: [4.19]).
.................................................................................................................................................................. 118
Figure 4.5 A halo mask and its various components for a 2D mask designed on a mask plate for
transferring the pattern onto photoresist. ................................................................................................ 120
Figure 4.6 Sidewall quality for varying channel/trench width, (a) 40μm gap, (b) 60μm gap showing a
widening of the gap towards the bottom of the trench as the profile diverges away from vertical, (c) 80μm
gap shows the increase in profile shape divergence from vertical with bigger gap, (d) beams with gap
varying from 40-400μm show the increased breakdown of the sidewall with larger gap........................ 121
Figure 4.7 SEM image of 9 μm thick AZ9260 photoresist. ...................................................................... 122
Figure 4.8 (a) SEM of 5 μm thick oxide etched using CHF3, (b) SEM of damaged photoresist (AZ9260, 7
μm thick) after oxide etch......................................................................................................................... 123
Figure 4.9 Process flow schematic for through-wafer silicon etching using DRIE. ................................ 125

viii
Figure 4.10 Grass formation during silicon etch..................................................................................... 133
Figure 4.11 Reduced grass formation under increasing platen power, (a) 12W: trench is completely
covered with grass, (b) 14W: the centre of the trench bottom is clear but grass is still forming along the
sidewall, (c) 16W: clear trench bottom but the sidewalls have grass curtains, (d) 20W: the trench bottom
and the sidewall is etched cleanly without any grass formation. ............................................................. 134
Figure 4.12 (a) SEM of etch cross section showing etch lag between small and large trench, (b) Etch
depth vs. channel width (all dimensions in μm). ...................................................................................... 135
Figure 4.13 Process parameters optimized to reduce etch lag lead to grass formation in larger width
trench while smaller trenches etch cleanly. ............................................................................................. 136
Figure 4.14 SEM of top edge damage...................................................................................................... 137
Figure 4.15 Micromasking in etch channel resulting in oxford spires..................................................... 138
Figure 4.16 Scalloping (a) Scalloping on a sidewall, (b) AFM scan of top edge of sidewall shows scallops
(courtesy: Sanjay Vijendran), (c) SEM of large scalloping on trench sidewall suggesting high etch rate.
.................................................................................................................................................................. 139
Figure 4.17 SEM image of sidewall quality of 500 μm high sidewall. a,b,c show tapping mode AFM scan
of the top (a), middle (b) and bottom (c) of the trench sidewall. The roughness of the sidewall increases
from peak-to-peak 0.4 μm at the top of the trench sidewall to 0.7 μm at the middle of the sidewall and 1.4
μm at the bottom of the sidewall............................................................................................................... 140
Figure 4.18 Schematic of test structure showing region of interest (ROI). A tapered trench was selected to
examine sidewall surface quality with varying channel width. The individual structures (beams) can be
separated for ease of measurement (courtesy: Werner Karl). ................................................................. 141
Figure 4.19 Sidewall SEM of through-wafer deep reactive-ion etched beam. Zones of varying sidewall
morphology are labelled as: A. Overetched, B. Ion damaged, C. Overpassivated, and D. Striations. .... 142
Figure 4.20 Interferometric measurement of sidewall topography near narrow trench end. The ion
bombardment causes holes in the sidewall near the trench bottom where the re-entrant surface emerges
above the top edge.................................................................................................................................... 143
Figure 4.21 SEM picture showing the damage observed at narrow etch-gaps towards the trench bottom
caused by ion bombardment (Inset: zoomed, rotated view at a tilt angle of 85º) (courtesy: Werner Karl).
.................................................................................................................................................................. 144
Figure 4.22 Passivation build-up observed at wide etch-gaps leading to striations and therefore to rough
sidewalls................................................................................................................................................... 145
Figure 4.23 EDX analysis of the beam shows fluorine presence in the darker areas which were charging
up in the SEM, proving the hypothesis of CF2 deposition. (a) SEM image of the beam section analysed for
Fluorine content showing a higher concentration of carbon and fluorine at the top darker region of SEM
image than at the ligher part towards the bottom of the sidewall, (b) Elemental map of the sidewall
highlighting (red) the carbon and fluorine dominated regions, and (c) Linescan from the top edge of the
beam to the bottom edge showing the concentration of fluorine, carbon and silicon along the etch
direction. .................................................................................................................................................. 146
Figure 4.24 Profile shape of etched trench for various processing conditions in DRIE. (a) Negative
profile, (b) Positive profile, (c) Bowed profile. ........................................................................................ 149
Figure 4.25 Surface potential build-up due to flux imbalance of isotropic electrons and anisotropic ions
leading to lateral etching of the trench sidewalls. ................................................................................... 152
Figure 4.26 Notching damage at the bottom of a through-wafer etched feature. The wafer was bonded to
a handle wafer using photoresist. ............................................................................................................ 155
Figure 4.27 Charge distribution in deep trench and positive charge accumulation at Silicon-insulator
interface resulting in lateral undercutting at the trench foot (notching).................................................. 156
Figure 4.28 Process flow schematic for through-wafer etching using a metal backside coating to
eliminate notching.................................................................................................................................... 159
Figure 4.29 Schematic of etch setup with metal coating directly on the wafer backside and then bonded to
a handle wafer.......................................................................................................................................... 159
Figure 4.30 (a) Notching damage observed at the foot of a through-wafer etched structure; the wafer was
bonded to a handle wafer using thin photoresist. (b) The same structure on a wafer with a thin metal
coating on the backside; no damage at the foot of the sidewall is visible................................................ 160
Figure 4.31 Backside of an etched wafer. (a) Etched trenches with no backside metal coating, showing
extensive notching. (b) Etched trenches with backside metal coating, showing no visible notching. ...... 161

ix
Figure 4.32 Charge dissipation at metal surface in a silicon trench with a metal coating on the wafer
backside.................................................................................................................................................... 161
Figure 4.33 (a) Schematic of test setup with metal coated handle wafer glued to the device wafer at the
outside edges, (b) Schematic of the test setup with silicon handle wafer bonded to the device wafer at the
outside edge using photoresist. ................................................................................................................ 162
Figure 4.34 Schematic of experimental setup, (a) metal layer connected to the plasma at edges, (b) metal
layer completely isolated.......................................................................................................................... 162
Figure 4.35 (a) Damage due to partially floating metal layer, (b) Notching damage due to completely
floating metal layer. ................................................................................................................................. 163
Figure 4.36 Schematic of test setup with electroplated metal on device wafer backside. ........................ 164
Figure 4.37 Optical image of dry release process by peeling the metal layer from the wafer backside.. 164
Figure 4.38 SEM image of trench profile etched for 30 minutes using (a) LF plasma mode showing
thinning down of the structures towards the bottom as compared to, (b) HF plasma mode which
maintains profile anisotropy better and (c) scallop size for LF mode is 260nm × 818 nm compared to, (d)
HF mode scalloping which is 217nm × 770nm........................................................................................ 165
Figure 4.39 Schematic of experimental setup to investigate effect of good thermal contact between the
device and handle wafer, (a) Wafer bonded on the periphery such that when the structures are etched
through-wafer, the structures are thermally isolated from the handle wafer, and (b) the device wafer is
completely bonded to the handle wafer allowing the isolated structures thermal connectivity to the handle
wafer. ....................................................................................................................................................... 166
Figure 4.40 (a) Optical image of burnt photoresist due to thermal sinking problem – the reflective surface
shows normal photoresist, (b) photoresist is burnt in this case on the proof-mass which has bad thermal
connectivity through the long springs – the grey non-refelctive surface is burnt resist........................... 167
Figure 4.41 Full wafer mask design included 20×20 mm dies as well as 10×10 mm and 5×5 mm dies
with lateral suspensions. .......................................................................................................................... 172
Figure 4.42 Mask layout of the horizontal lateral suspension, the inset shows the spring connector and
the linkages with the filleting along with the packing pieces. .................................................................. 173
Figure 4.43 Mask layout of the Galperin configuration lateral suspension, the insets show the spring and
linkage along with the packing pieces...................................................................................................... 173
Figure 4.44 Silicon lateral suspension with six sets of springs and three intermediate frames fabricated by
DRIE. ....................................................................................................................................................... 175
Figure 4.45 Close-up of silicon lateral suspension with reduced mass frame and spring with cross-bar.
.................................................................................................................................................................. 175
Figure 4.46 Close-up of spring sidewall. ................................................................................................. 176
Figure 4.47 Etch profile shape, (a) spring beam cross-section showing an etch profile which is slightly
bowed towards the center, and (b) the profile shape of a cross-section of an intermediate frame showing a
similar bow like the spring beam. ............................................................................................................ 177
Figure 4.48 SEM of a silicon lateral suspension within a 5mm×5mm die............................................... 177

Figure 5.1 Slow-scan image of suspension under excitation, the edges of the spring and proof mass
appear as a sinusoid due to the rastering of the electron beam orthogonal to the direction of motion of the
proof mass. The sinusoidal edges show the fundamental mode of the suspension. .................................. 189
Figure 5.2 Overlap of the first spurious mode along the x-axis (ωx1) on top of the normal x-axis mode
(ωx). The x1 mode is visible as the higher frequency mode on the springs. The x mode is visible as the
low-frequency sinusoid of the proof mass and the springs....................................................................... 190
Figure 5.3 SEM of the suspension with the electron beam scanning along the Y-axis of the suspension, the
insets show the ωz and ωβ modes. Inset 1 shows the see-saw motion of the proof mass due to the rotational
mode (ωβ) about Y-axis of the suspension. The out-of-plane mode (ωz) is clearly visible at the center of
the proof mass in inset 2 as a constant amplitude oscillation. ................................................................. 191
Figure 5.4 Ringdown of suspension in SEM slow-scan. Measuring the time taken for the amplitude of
oscillations to drop to 1/e of its initial value gives the decay time constant (τ) of the system.................. 192
Figure 5.5 Plot of measured quality factor as a function of pressure for 10 Hz silicon suspension. ....... 197

x
Figure 5.6 SEM image of beam cross-section. The mask design was for a spring width of 24 μm which is
reduced to 22 μm at top edge of the spring during the etch and the middle section of the beam is thinner
than the top and bottom............................................................................................................................ 202
Figure 5.7 Undercut measurement using Zygo white light interferometer of spring cross-section. ....... 203
Figure 5.8 Measured lateral undercut for 24 μm and 31 μm wide beam. ............................................... 203
Figure 5.9 Beam cross section of an anisotropically etched beam, (a) rectangular cross-section, (b)
bowed cross-section. ................................................................................................................................ 204
Figure 5.10 Discretization of the spring cross-section into trapezoidal elements to calculate the bending
and torsional constants. ........................................................................................................................... 205
Figure 5.11 Etch profile of the spring - total spring thickness vs. etch depth.......................................... 207
Figure 5.12 Compensation for spring etch profile. (a) Ideal, (b) Actual after fabrication, and (c)
Compensated beam cross-section showing the addition of a rectangular area of width Δw to increase the
second moment of area Ix for the fabricated spring beam to specification.............................................. 209
Figure 5.13 Spring profile for 30 μm wide beam, (a) total spring thickness vs. etch depth, (b) SEM of the
beam cross-section. .................................................................................................................................. 211

Figure 6.1 Two implementation of capacitive sensing, (a) distance (gap) changing: the distance between
the plates is varied and (b) overlap area changing: the overlap area between the plates is varied with
position..................................................................................................................................................... 218
Figure 6.2 Block diagram of the microseismometer. The four major functional units are the mechanical
suspension, capacitive transducer which converts the mechanical motion of the proof mass to electrical
signal, feedback controller which drives the electro-magnetic actuator to keep the proof-mass at
equilibrium. .............................................................................................................................................. 219
Figure 6.3 Cross-sectional view of the microseismometer showing the Capacitive array transducer with
the drive electrodes on the proof-mass and a set of overlapping output electrodes on the top capping die.
.................................................................................................................................................................. 221
Figure 6.4 (a) Configuration of the drive and output electrodes, and (b) alternate drive electrodes are
driven out-of-phase by a +DRIVE and –DRIVE square-wave pulse train. The output electrodes provide a
differential output signal. ......................................................................................................................... 222
Figure 6.5 Perspective view of the magnetic circuit. The planar coil on the proof mass is enclosed by
magnetic field from the pair of magnets on either arm of the coil. The feedback force is generated by
running a current through the coil. .......................................................................................................... 224
Figure 6.6 Proof-mass die showing the mechanical suspension with the circuit fabricated on top of the
suspension to control and measure the displacement of the proof-mass.................................................. 225
Figure 6.7 Process schematic for the complete suspension fabrication with metal and insulator layers.227
Figure 6.8 (a) Contact resistance of pads prior to anneal, and (b) Post-anneal I-V curve for contact pads
showing a contact resistance of 200 ohms. .............................................................................................. 228
Figure 6.9 Contact pad post-anneal showing a rough surface, the brighter islands is the Au layer which
dissolves in the underlying NiCr layer. .................................................................................................... 228
Figure 6.10 Mask layout of the metal-1 layer showing the ground-plane, interconnects for the coil and
traces. Metal 1 is 30nm Cr and 200nm Au and is patterned by wet chemical etching............................. 229
Figure 6.11 Metal-1 interconnect underneath the insulator layer for making the connection between two
segments of metal-2 across the edge seal layer........................................................................................ 230
Figure 6.12 Photoneece, a photo-definable positive polyimide is spin coated on the wafer and
lithographically patterned. The developed and cured photoneece layer has sloping sidewalls suitable for
running thin metal traces across the edge of the insulator pads (courtesy: Werner Karl). ..................... 231
Figure 6.13 Metal-2 mask layout showing the coil, traces, edge seal layer, pickup electrodes and the
interconnect pads for connectivity between the proof-mass die and capping die. ................................... 232
Figure 6.14 (a) Electroplated Cu in the photoresist mold, and (b) Electroplated Cu/Au after removal of
the photoresist mold and etching of the initial Cr/Cu seed layer. ............................................................ 232
Figure 6.15 Optical micrograph of the circuit on top of the proof-mass die prior to DRIE. ................... 233
Figure 6.16 (a) A complete proof-mass die, and (b) Closeup of the metal traces on a spring (courtesy:
Werner Karl). ........................................................................................................................................... 234

xi
Figure 6.17 Exploded view of the capping and proof mass dies. The DT (Differential transducer) die
contains the drive LCAT electrodes. The cavity die is underneath the suspension die and is required for
vacuum encapsulating the suspension...................................................................................................... 235
Figure 6.18 Schematic steps for metallization of the glass wafer and the subsequent sand powder blasting
to etch the cavities.................................................................................................................................... 236
Figure 6.19 (a) Mask layout for the top capping wafer metal layer, and (b) Top capping die with the
metal layer................................................................................................................................................ 237
Figure 6.20 On the top capping wafer, the side containing the circuit is powder sand blasted first to etch
the cavities, then the wafer is turned over and with a different decal dicing lines are etched through the
glass wafer. This allows for easy release of the dies (courtesy: Trevor Semple). .................................... 238
Figure 6.21 Magnetic circuit for the microseismometer comprising of magnets, yoke and coil. The yoke
closes the circuit between the set of magnets on either side of the die sandwich. The yoke under the
magnet smoothes the magnetic flux lines and creates a larger linear region for the coil to move within.
.................................................................................................................................................................. 239
Figure 6.22 Location of the coil within the magnetic field. For maximum deflection force the coil should
be in the linear region of the magnetic field............................................................................................. 239
Figure 6.23 Magnetic flux density at the coil for varying level of bevelling on the yoke. As expected a
sharper bevelling leads to a higher magnetic flux density but the linear range is reduced. .................... 240
Figure 6.24 Cross-sectional view of the microseismometer assembly showing the yoke, magnets, top and
bottom capping dies, proof mass die and electrode pads for signal readout and feedback. .................... 241
Figure 6.25 Schematic of the contact and seal between the top capping die and the proof mass die...... 242
Figure 6.26 (a) Solder electroplating, (b) Solder jetting, and (c) Solder ball placement (courtesy: Trevor
Semple)..................................................................................................................................................... 242
Figure 6.27 Mechanism of solder ball transfer using grooves on wafers, the grooves are etched using
DRIE. The solder balls are transferred to the top capping die. The top capping and proof-mass dies are
then aligned and bonded. ......................................................................................................................... 243
Figure 6.28 Glass frit bonding to form seal between the bottom capping die and the proof mass die. ... 244
Figure 6.29 Schematic of the hermetically sealed cavity enclosing the mechanical resonator. .............. 245
Figure 6.30 A fully assembled microseismometer, for size reference a British penny is placed next to it.
.................................................................................................................................................................. 248
Figure 6.31 Experimental measurement setup for measuring the resonant frequency and Q-factor of the
prototype microseismometer. ................................................................................................................... 249
Figure 6.32 Close-up of the electronic conditioning unit containing the microseismometer................... 249
Figure 6.33 Response plot for the suspension. The coil generates a current when driven under the
external magnetic field. The peak in the response curve corresponds to the normal resonant modes. The
first peak is at a frequency of 12.35 Hz.................................................................................................... 250
Figure 6.34 Ringdown test of the suspension. The current generated in the coil reduces as the vibration
decays....................................................................................................................................................... 251
Figure 6.35 Minimum intensity exposure for transportation of packaged die using a combination of truck,
rail and air transport. .............................................................................................................................. 253
Figure 6.36 Ling Dynamic Shaker at AOPP, University of Oxford used for doing shock and vibration
analysis of packaged suspension.............................................................................................................. 254
Figure 6.37 Fracture of the spring at the linkage. ................................................................................... 254
Figure 6.38 Frame and proof-mass damage due to collision at the corners. .......................................... 255
Figure 6.39 Redesigned linkages to reduce the stress concentration....................................................... 255
Figure 6.40 Damper designs to avoid frames and proof-mass collision, (a) Cavity damper, (b) Comb
finger dampers, (c) Spring constraining tabs, and (d) Frame spring damper. ........................................ 256
Figure 6.41 Damage to the frames and proof-mass at Ariane super vibration levels for packaged
suspension. ............................................................................................................................................... 257
Figure 6.42 Friction damage on proof-mass due to cavity die support fingers. ...................................... 257

Figure 7.1 (a) 2D model of a fully electrostatic inertial sensor, (b) a fabricated geophone.................... 265

xii
Figure 7.2 Damping structures to increase damping when the suspension is at its maximum deflection.
.................................................................................................................................................................. 266
Figure 7.3 Some prototype devices fabricated using the MIMD (Metal-Insulator-Metal-DRIE) process,
(a) geophone sensor, 5mm × 5mm, (b) μSEM components, (c) a tuning-fork gyroscope, (e) comb drive
based suspension...................................................................................................................................... 268
Figure 7.4 Fabrication sequence for the silicon mold, substrate preparation, electroplating, and release.
.................................................................................................................................................................. 269
Figure 7.5 SEM image of a silicon mold after DRIE (inset shows the mold with a 10 μm thick PMMA
release layer)............................................................................................................................................ 271
Figure 7.6 SEM image of a molded metal test structure electroplated to 236 μm height. The sidewall is
vertical except where the structure is anchored to the substrate. Reflow of the release layer forms a hump
at the mold-substrate interface leading to profile variation..................................................................... 271
Figure 7.7 Suspended metal structures molded using the fabrication steps depicted earlier. The plated
structure is 50 μm high and is suspended 14 μm over the base plate. ..................................................... 272
Figure 7.8 Process schematic for single-mask DRIE device fabrication. ................................................ 273
Figure 7.9 Process schematic of a SOI replacement through-wafer process. ......................................... 274

xiii
List of Tables

Table 1.1 Comparison of conventional seismometers (Datasheets, [1.14])................................................. 7


Table 1.2 Miniaturized seismometers........................................................................................................... 8
Table 1.3 Specification of MEMS accelerometers...................................................................................... 15
Table 1.4 Comparison of silicon’s mechanical properties with other materials........................................ 18

Table 2.1 Dimensional parameters for suspension design......................................................................... 48

Table 3.1 Rejection ratios of spurious modes for a lateral suspension...................................................... 71


Table 3.2 Dimensional parameters for suspension design with multiple set of springs............................. 75
Table 3.3 Resonant frequencies for lateral suspension with n spring sets and (n-1) intermediate frames.86
Table 3.4 Effect of varying amount of filleting of link-spring corner on stress.......................................... 97
Table 3.5 Resonant frequencies for lateral suspension with n spring sets and (n-1) intermediate frames
incorporating the effect of end connector (elbow) geometry.................................................................... 100
Table 3.6 Value of c (elbow compliance) for various elbow topography................................................. 101
Table 3.7 Dimensional parameters for selected designs.......................................................................... 103

Table 4.1 Comparison of oxide and photoresist as a masking layer for DRIE. ....................................... 124
Table 4.2 Comparison chart for various wafer bonding techniques. ....................................................... 128
Table 4.3 Effect of process parameters on the etch characteristics. ........................................................ 169
Table 4.4 Etch Parameters for through-wafer etching............................................................................. 171

Table 5.1 Vibration modes of silicon suspension with 24 μm wide springs. The suspension has two set of
springs with one intermediate frame. ....................................................................................................... 194
Table 5.2 Resonant modes for a 24 μm wide spring suspension. Comparison between analytical,
numerical and experimental data incorporating beam profile shape. ..................................................... 208
Table 5.3 Modal frequency for suspension with 24 μm spring which is increased to 30 μm to compensate
for the reduction in resonant frequency due to etch profile shape. .......................................................... 212
Table 5.4 Resonant modes for 1 spring set suspension with 24 μm wide spring...................................... 212

Table 6.1 Suspension dimensional parameters for damping calculation for microseismometer. ............ 246

xiv
Acknowledgements

Even though this thesis bears my name it would not have been possible without the

support, inspiration and enthusiasm of many people. My journey in Microsystems

started with a course taught by Prof. Andrei Shkel at University of California, Irvine. If

it were not for those glossy posters exhorting the exciting field of micro sensors and

actuators I would perhaps still be an Aerospace engineer. The real introduction to the

world of MEMS was while working with Dr. Saroj Sahu, Dr. Chris Kenney and Dr. Jim

Aroyan at Jasmine Networks and later at MuSquared, Inc. Their support, patience and

friendship have been the most crucial in not only expanding my knowledge of

Microsystems but also in my personal growth.

It was perfect timing for me to hop over the pond to London when Dr. Tom Pike offered

me a position on his microseismometer project. I knew I would have an interesting time

at Imperial College after seeing his performance in the comedy play on my first day. I

am extremely grateful for his guidance and support throughout the research. I am also

grateful to Kinemetrics, Inc for sponsoring this research and to Ian Standley for the

electronics circuit design and test setup.

The Optical and Semiconductor Devices group is an extremely dynamic group full of

talented people. In particular I would like to thank Dr. Munir Ahmed, who with his

encyclopaedic knowledge of chemicals always had useful suggestions to help me out of

the processing nightmares. Dr. Tom Tate for keeping the cleanroom running and Dr. John

Stagg for his help with processing. I thank Prof. Richard Syms for his tireless effort at

consistently expanding and improving the fabrication facility.

xv
The effort involved in research was made all the more enjoyable by the excellent

company provided by the many friends in the group. London would be very

complicated but for the navigational skills of Werner who always knew the best pub

whatever be the area. I am extremely thankful for his help and guidance throughout the

research. The many evenings I have spent in the company of Ariel and Werner not just

discussing work but pondering over the philosophy of it all has kept me sane. Ariel with

his ability to invent, cook, paint, and still managing to be a doting dad is an inspiration.

The enjoyable dinners at Trevor’s place were always great while getting to see (not

play) the fantastic guitars he makes. Hanna’s parties were always great to test one’s

drinking abilities and her tennis returns can floor the best. Sanjay is always a lesson in

planning. Lunch would not be the same without Robert (and his theories on cave

people), Kai (and his projects) and the discussions which always lead to the two great

topics. It has only gotten better with Michail (and his myths), Rob, Wen, Anshu, Anisha

and Vinita. Economic discussions would not have been same without Anke and her

scepticism of my “economist” data. Michael who was always ready to help and advise

be it research or business. Migel, Nick, Youngki and Lek – members of the lab who

now live in various parts of world and with whom I had some great time and memories.

Yun, Li, and Justin for the fantastic badminton games, and all the other wonderful

members of the lab. It certainly would have taken longer to complete this research if it

were not for the cheerful support of Caroline.

Outside of the group, I am deeply thankful to my friends here in London and elsewhere

with whom I have enjoyed so much. Srini, who accompanied me on many trips and

never lost his cool even when I got him stuck in the middle of two glaciers and three

xvi
lakes in Iceland, and who so patiently explains the real economic world. Prabhala for

his constant motivation, and insights. Mr. Alun Parry for his 2 a.m. coffees and endless

discussions. Arnab, Ashish, and Paretha for their company and many others whose

friendship I deeply cherish.

Finally and most importantly I would like to thank my parents who have influenced me

throughout my life and my brothers Onkar, Anil, and Ravi who have always been there

for me and encouraged me all the way. It would not be possible for me to have come

this far but for their faith in me.

xvii
This thesis is dedicated to

papa, mummi

who raised me with so much love

and to

bhaiya, ravi and anil

for their unwavering encouragements

and to

bhabhi, aashna, anish

who have added even more fun to our lives

xviii
One
1 Introduction

In this chapter we present the motivation for designing and fabricating a single crystal

silicon lateral suspension. The suspension forms part of an under development

microseismometer. The microseismometer is a miniaturised seismometer with the

ability to detect extremely low-level vibrations. The microseismometer will fill the gap

between the huge conventional seismometers and the low-resolution micromachined

accelerometers and enable widespread usage in structure health monitoring, remote

arrays of seismometers, undersea vibration monitoring for tsunami early warning

systems, oil and gas exploration and space seismology.

1
Introduction

1.1 Background

Mankind has always been interested in understanding all aspects of the Earth and over

the centuries we have expanded our knowledge of the land masses, water bodies and

atmosphere by direct observation and interaction with them. The internal structure

however has always been a mystery which started unravelling only after the advent of

seismology which is the study of propagation of seismic waves through the surface and

interior of the Earth.

The beginnings of seismology were rooted in humanity’s interests and bewilderment at

earthquakes. The oldest instrument probably designed to detect earthquakes is credited

to Chinese scientist and philosopher Chang Heng who inventing a seismoscope in 132

A.D. (Figure 1.1)[1.1, 2]. This was a primitive but ingenious device which registered

the arrival and direction of the earthquake. The device consisted of a pot with a

pendulous arrangement within and on the outer surface had eight dragons with spheres

in their mouth and eight toads under them. Depending on the direction of the seismic

tremor the appropriate ball would fall in the toad’s mouth allowing observer to infer the

direction of the tremor. The design influenced mercury and other liquid based

seismoscopes in Europe in the eighteenth century and the first seismograph which could

continuously record earthquakes was developed by Cecchi in Italy at the end of

nineteenth century [1.2-4].

2
Introduction

Figure 1.1 Wang Chen-To’s visualization of Chang Teng’s 132 A.D. design of a seismoscope. Image

taken from [1.5].

The beginnings of modern seismic instrumentation is ascribed to John Milne who

developed a horizontal pendulum based seismoscope in 1895 in Japan which had

extremely low natural frequency allowing unprecedented resolution in measuring

seismic vibrations [1.1, 2].

Seismology until the nineteenth century was mostly devoted to the study of earthquakes

which ultimately led to the first systematic study of the Earth’s seismicity. With the

advancement in understanding of elastic wave propagation through various media,

analysis of the seismic wave propagation led to the first understanding of the internal

structure of the Earth. Analysis of the travel time of teleseismic (long distance) body

waves through the Earth enabled R. D. Oldham (Assam, India 1897) [1.2] to propose a

model of the Earth with a liquid core whose outer boundary was calculated by Beno

Gutenberg in 1913 [1.4]. Andrija Mohorovičić postulated crust-mantle boundary theory

3
Introduction

in 1909 [1.1] and Inge Lehmann deduced the existence of a solid inner core in 1936

[1.4]. A whole new understanding of the Earth’s internal structure was being made

possible by the developments in seismological instrumentations.

In the 1920s and 1930s exploration to find oil and gas reserves beginning in Texas and

Mexico led to significant improvements in the understanding of the reflection and

refraction of teleseismic waves through the terrestrial surface allowing prospectors to

find and map underground reservoirs [1.6]. This was also the first significant

commercial application of seismology and led to significant improvement in

commercial seismic instrumentations.

Seismology received a significant boost in 1950s and 1960s as a means to detect nuclear

explosions [1.1, 7]. This allowed the world powers to keep an eye on their adversaries

by detecting their nuclear tests. The necessity to discriminate a nuclear explosion from

earthquakes and to ascertain the location and strength of the explosion resulted in the

establishment of new world wide network of seismic stations like the WWSSN (World

wide standardized seismograph network, established 1962) [1.3].These developments in

turn provided an important impetus for major improvements in seismological

instrumentations.

Seismometers are (usually) based on the principle of inertia. A heavy mass is loosely

coupled to the ground, for example by suspending from a thin wire (Figure 1.2). The

motion of the Earth is only partly transferred to the mass. The relative displacement

between the mass and ground is recorded as a seismogram.

4
Introduction

Figure 1.2 Principle of seismometer: the mass is almost stationary due to inertia while the frame

moves with the ground [1.1].

Early seismoscopes were based on undamped oscillation and so provided an accurate

estimate of ground motion only at the start of the shaking. Emil Wiechert introduced the

viscously damped seismometer in 1898 [1.4, 8] which could record ground motion

continuously over an extended period, thus recording not only the major earthquakes

but also the after-shakes. Significant progress was made at the beginning of the

twentieth century by the development of the first electromagnetic seismometers by

Prince Boris Galitzin in 1906 in Russia [1.2]. The electromagnetic seismometer has a

coil attached to the mass of the pendulum that moves in a magnetic field created by

magnets attached to the reference frame. Upon ground motion, the reference frame

moves, which creates a varying magnetic field causing a current to flow through the

coil. The electric current generated in the coil is passed to a galvanometer whose

deflection is recorded by a light beam reflected off a mirror on photographic paper

wrapped around a rotating drum. The electromagnetic seismometer enabled higher

magnification of the ground motion compared to the previous seismometers. The new

age in seismic instrumentation was heralded by the development of the broadband

seismometer by Wielandt and Streckeisen in 1983 [1.2]. The broadband seismometer

5
Introduction

can record ground motion over a large range of periods made possible by a feed-back

circuit that extends the response to very long periods. Some of the commercial

seismometers are shown in Figure 1.3.

Figure 1.3 State-of-the-art commercial seismometers, (a) Streckheisen STS-2, (b) Guralp CMG-

40T, (c) Guralp CMG-3T without outer housing, (d) Sercel L-4, (e) Geotech KS-2000 borehole

seismometer, and (f) Nanometrics Trillium 120P. These are all big, heavy and expensive.

Streckeisen [1.9] manufactures some of the most sensitive seismometers, their STS-1

and STS-2 designs were some of the first widely available broadband seismometers.

STS-1, now out of production was a single axis seismometer (can measure vibration

only along one axis) with a mechanical period of 360 seconds. STS-2 (Figure 1.3a) is a

three component seismometer which can record vibrations along all three axes; it has a

mechanical time period of 120 second. CMG-40T (Figure 1.3b) and CMG-3T (Figure

6
Introduction

1.3c) are Gűralp’s [1.10] three component seismometers. CMG-3T competes in the

same market as STS-2 and has comparable specifications. Sercel [1.11], Geotech [1.12]

and Nanometrics [1.13] (Figure 1.3d-f) are some of the other key players in the

seismometer market and have a wide range of offerings. Table 1.1 compares a range of

seismometers from various vendors. As we can see from Table 1.1 and Figure 1.3

conventional state-of-the-art seismometers are large (~125,000 cubic cm), heavy (~10

kilograms), and expensive (~$50k-$100k). They are also power hungry (few watts),

tricky to install and expensive to maintain. This presents a major obstacle to their

widespread use in seismic monitoring of common structures like buildings, bridges

(cost consideration), building remote large field networks for earthquake monitoring or

oil prospecting (cost and power consideration) and space seismology (size, power and

weight consideration).

Table 1.1 Comparison of conventional seismometers (Datasheets, [1.14]).

Vendor/Model Size Weight Self Noise Natural Proof-mass


(cm) (kg) (m/s2/√Hz) Frequency (kg)

Streckheisen STS-1 12×17×18 4 3.16×10-11 360 sec 0.5


φ20×16 5.5 kg

Streckheisen STS-2 φ23×26 13 3.16×10-11 120 sec 0.3

Guralp CMG-3T φ17×38 14 1.78×10-10 120 sec

Guralp CMG-40T φ15.4×20.7 2.49 1.78×10-9 30 sec

Lennartz Le3D/5s φ19.5×16.5 6.5 4.98×10-9 5 sec

Nanometrics Trillium 120P φ22×18 11 5.62×10-10

Geotech S-13 φ16.8×38.1 10.4 4.47×10-10 1 Hz 5

Geotech GS-13 φ16.8×38.1 10.4 2.66×10-10 1 Hz 5

Geotech KS-2000 φ8.9×143 19 7.08×10-8 7.7

Kinemetrics SS-1 [1.15] φ14×30.5 5 4.98×10-10 1 Hz 1.45


φ is used to denote the diameter of the sensor.

7
Introduction

The size and weight restrictions of conventional seismometers limit their usability in

many areas, which has led, in recent times to the development of miniaturized

seismometers. Table 1.2 presents the specifications of a few miniaturized seismometers

from Sercel and Oyo-Geospace [1.16]. The key concern while miniaturizing

seismometers is the sensor’s ability to resolve vibrations from the ambient noise. The

noise can have two origins: noise generated in the instrument and the “real” seismic

noise of the Earth vibrations. Conventional seismometers like STS-1, STS-2 and CMG-

3T have instrument noise well below the seismic noise but for miniaturized

seismometers it is important to design the instrument to keep the instrument noise below

the Earth noise in its range of operation. As we see in Table 1.2 the self-noise of the

seismometers are increasing with decreasing size. Self-noise of the instrument gives a

measure of the smallest signal that the sensor is able to distinguish from background

noise.

Table 1.2 Miniaturized seismometers. φ is used to denote the diameter of the sensor.

Vendor/Model Size Weight Self-Noise Natural Proof-mass


(cm) (kg) (m/s2/√Hz) Frequency (kg)

Sercel L-4A/C φ7.6×13 2.15-1.7 4.98×10-10 1-2 Hz 0.5 - 1

Sercel L-22 φ6.03×5.08 0.425 4.48×10-9 2 Hz 72.8 gram

Oyo-Geospace HS-1 φ4.2×4.7 0.24 1.07×10-8 4.5 Hz 22.7 gram

1.2 Earth Noise

Many factors contribute to the Earth noise, including human activity, wind, ocean,

temperature fluctuations, solar and lunar interactions. Man made noise is generally from

traffic and machinery and is high-frequency (2-4 Hz). Wind sways structures causing

high-frequency noise though sometimes with very large structures it generates low-

8
Introduction

frequency vibrations. Oceans are the source of most widespread seismic noise seen

globally; it is significantly higher in coastal areas than inland. The waves and tides

cause shorter period vibrations in the 0.1-0.2 Hz range. These factors are constantly at

work making the crust vibrate continuously.

Figure 1.4 The USGS New Low Noise Model [1.17], here expressed as Noise Estimated Acceleration

in m/s2/√Hz of ground motion relative to frequency. Mechanical noise levels are plotted for the

proposed microseismometer.

The lowest noise levels on the Earth are represented by a USGS (United States

Geographical Society) New Low Noise Model (NLNM) [1.17] (Figure 1.4). The curves

(also known as Peterson-curves) represent lower bounds of cumulative compilation of

representative ground acceleration amplitude spectral density at 75 seismic stations

9
Introduction

worldwide. These Peterson-curves are a useful reference for predicting the noise levels

of a seismic station and to quantify the usability of a seismometer to resolve the smallest

seismic motion. The figure shows the target microseismometer mechanical noise floor

on the plot which will be discussed in the chapter 2.

1.3 Instrument Noise

An important consideration while designing seismometers is the Earth noise. The key

requirement is creating sensors sensitive enough to detect the smallest seismic signals,

given that noise sets a limit to the level of detection. The instrument noise is due to both

the electronic noise and the mechanical noise of the suspension. The electronic noise is

generally well quantified for the amplifiers and the feedback circuit and can be designed

to be below the NLNM in the range of operation required.

Mechanical self-noise of the suspension is dependant on the damping of the resonating

structure which is due to viscous damping from surrounding air molecules. By vacuum

encapsulating the resonating structure the viscous damping from air can be reduced.

At the lower end, the fundamental limit to the self-noise or noise floor is set by the

Brownian motion of gas molecules hitting the proof mass. Usher [1.18] shows the

noise-equivalent acceleration (NEA) for a unit bandwidth at any frequency is given by

ω0 (1. 1)
NEA 2 = 4k b T
mQ

where kb is the Bolzmann constant, T is the temperature in Kelvin. NEA is expressed in

units of m/s2/√Hz and also in terms of gravity as g/√Hz where the relation is 1 g/√Hz =

9.81 m/s2/√Hz.

10
Introduction

From equation 1.1 we can see that the three critical parameters for a low-noise sensor

are large mass (m), long period (1/ω0) and high quality factor (Q). Quality factor (Q) is

the measure of the energy loss in the suspension and the higher the Q, the better is the

ability of the suspension to minimize energy loss. Conventional seismometers have a

very large mass and an extremely long period which reduces the mechanical noise of the

suspension. With miniaturization, the weight of the suspension decreases and it also

becomes harder to fabricate low resonant frequency suspensions. Figure 1.5 compares

the NEA and natural frequency of the suspension for a variety of vibration sensors from

conventional high-performance seismometers to miniaturized seismometers and

micromachined accelerometers. The self-noise of conventional seismometers scale

approximately in proportion to the mass of their respective suspensions. STS-1 has a 4

kg mass on leaf springs which gives it a time period of 360 seconds and is installed in

vacuum to give it one of the smallest noise floor. STS-2 and CMG-3T have a 13 kg and

14 kg proof-mass respectively and a time period of 120 seconds allowing them to reach

less than 10-10 m/s2/√Hz NEA. Sercel L-4 and Oyo-Geospace HS-1 with their much

smaller mass of 0.5 kg and 22.7 g and resonant frequency of 1 Hz and 4.5 Hz have

significantly higher self-noise.

In addition to the seismometers, Figure 1.5 includes accelerometers both commercially

available and from research. Accelerometers are typically high-g vibration measuring

sensors and have significantly higher resonant frequency. The accelerometers shown in

Figure 1.5 are fabricated using semiconductor processing techniques and have

extremely low mass. This leads to extremely high mechanical noise rendering these

accelerometers unusable for detecting small seismic signals. To improve the resolution

11
Introduction

of high-g accelerometers a new generation of accelerometers called navigational/inertial

grade accelerometers are being manufactured commercially and have been the subject

of intensive research over the past few years. These accelerometers offer significantly

lower noise floor, some of them finding applications in seismic sensing (ex. Sercel

DSU3, Honeywell QA-3000).

Figure 1.5 Comparison of noise and resonant frequency of seismometers and a variety of

commercial and research accelerometers (datasheet, [1.14, 19, 20]).

Figure 1.6 compares the self-noise of seismometers and accelerometers with respect to

their volume. We can see the strong correlation between the size (related to the mass) of

the sensor and its noise floor as predicted from equation 1.1. Different groups of sensors

are highlighted as falling under various classifications. Seismometers as we have

discussed upto now are typically low-noise low-frequency and high-mass sensors.

12
Introduction

Accelerometers are subdivided in two categories: high-g accelerometers and

navigation/inertial grade accelerometers. Navigation grade accelerometers have much

lower self-noise than high-g accelerometers and have generally higher volume and

hence mass. Some navigational grade accelerometers like ones developed by Yazdi et

al. [1.21], Bernstein et al. [1.22] and Suzuki et al. [1.23] have similar or lower volume

than high-g accelerometers – this is due to the high quality factor of the material used to

make the suspension or the extremely low resonant frequency of the suspension as with

Suzuki’s parylene based accelerometer.

Figure 1.6 Comparison of noise and volume for traditional seismometer and MEMS accelerometers

(datasheet, [1.14, 19, 20]).

13
Introduction

1.4 Micromachined Accelerometers

Considering the improvement in the noise floor of navigational grade accelerometers it

could be possible to implement improvements to existing designs or materials, which

can reduce the self-noise even further. Most of the current crop of accelerometers are

batch fabricated using semiconductor processing techniques and are collectively known

as MEMS (Micro-Electro-Mechanical System) accelerometers. The specifications of

some of the industry standard ones are presented in Table 1.3. Honeywell QA-3000

(Figure 1.7a) uses a suspension made of quartz which is a very high-Q material. The

low mass of the suspension and the high-frequency of the suspension are compensated

significantly by the high-Q of the suspension to yield a 0.1 μg self-noise sensor. The

Sercel DSU3 has a lateral suspension made of polysilicon yielding an extremely low

mass of the suspension and a high resonant frequency but the high-Q of the vacuum

packaged device reduces the self-noise floor to 10 ng level. The SEM of the suspension

for the Sercel DSU3 is shown in Figure 1.7b. It bears noting that one of the major

advantages of small form factor accelerometers is the ability to package them in a

vacuum environment reducing the noise-floor to the Brownian noise of the suspension.

Figure 1.7 Commercial MEMS accelerometers with sub μg noise levels, (a) Honeywell Q-flex

QA3000 with an exploded view of the sensor and its suspension, and (b) Sercel/Tronics GPU3 with

SEM of the suspension.

14
Introduction

Table 1.3 Specification of MEMS accelerometers. φ represents diameter of the sensor body.

Vendor/Model Size Weight Self Noise Natural Proof-


(cm) (kg) (m/s2/√Hz) Frequency mass
(kg)

Honeywell QA-3000 φ2.6×1.5 0.071 1.04×10-6 800 Hz

Colibrys SF1500S [1.24] 8×7.5×5.7 0.45 3.00×10-6

Sercel DSU3 1.6×0.7×1.9 0.43 5.00×10-7 1 kHz

Analog Devices ADXL103 5×5×2 mm <1 gram 1.10×10-3 2.2 kHz ~few μg
[1.25]

Analog Devices ADXL05 5×5×2 mm <1 gram 5.00×10-3 1.2 kHz 1.86 μg

Proposed Device (expected) 2×2×0.5 mm <1 gram 4.25×10-9 10 Hz 26.6 mg

Various research groups have also designed low-noise suspensions for use as an

accelerometer. Figure 1.5 and Figure 1.6 show the noise level, natural frequency and

size of some of the notable accelerometers from various research groups. Roylance and

Angell [1.26] developed one of the first silicon micromachined accelerometers in 1978

at Stanford with a 0.02 mg mass suspended from a silicon cantilever (Figure 1.8e,f) to

give an out-of-plane resonant frequency of 1040 Hz and a noise floor of 8 mg/√Hz.

Bernstein at al [1.22] demonstrated an out-of-plane accelerometer with 0.1 μg/√Hz

noise floor made out of silicon, this was similar to the Roylance suspension in terms of

having a large proof-mass over thin flexures (Figure 1.8a,b). Rockstad et al [1.27]

developed an out-of-plane tunnelling accelerometer which had a noise floor of 0.1

μg/√Hz and 0.01 μg/√Hz near the resonant frequency of the suspension. Silicon has

been a very popular material of late due to the availability of standard equipments to

machine it easily and also has excellent material properties, though recently a low-noise

lateral suspension (Figure 1.8c,d) based on Parylene (a polymer) was demonstrated by

Suzuki et al [1.23] which showcases the advantage of using polymer to build very low

resonant frequency suspensions.

15
Introduction

Figure 1.8 MEMS accelerometers developed by research groups, (a,b) A 1.0 μg/√Hz self-noise

accelerometer developed by Bernsetin et al. [1.22] at Charles Stark Draper Laboratory, (c,d)

Parylene based low-noise accelerometer developed by Suzuki and Tai [1.23] at University of Tokyo,

and (e,f) one of the very first miniaturized accelerometer developed at Stanford university by

Roylance and Angell [1.26].

From the review of low-noise accelerometer, it seems reasonable to expect that it is

possible to develop sensors with nano-g or even sub nano-g self-noise by choosing a

suitable design and material for the mechanical suspension.

A wide variety of materials from silicon to quartz to polymer have been used to

fabricate accelerometers some of which have sub-μg noise. The choice of material is

dictated more from the availability of tools to pattern the material and by the suitability

of the material to create a low-loss suspension. Even though the mass of a MEMS

accelerometer is substantially lower than the conventional seismometers, it is still

imperative to maximize the mass to the extent possible. This requires a fabrication

technique which can create thick structures but that can lead to thick flexures increasing

16
Introduction

the resonant frequency of the suspension. High aspect ratio structures can solve the

problem of both a large mass and slender flexures for low resonant frequency. Recent

improvements in high aspect ratio micromachining of silicon due to the availability of

Deep Reactive Ion Etching based on the Bosch [1.28] process allows the flexibility to

create high-mass low-frequency suspensions.

1.5 Silicon Suspension

Silicon has excellent mechanical properties [1.29] and a wide variety of standard

fabrication processes which are exploited to make micromechanical devices. Table 1.4

compares the mechanical characteristics of silicon with various other materials.

Stainless steel is a popular material used for manufacturing some of the conventional

seismometers, as we can see from the table it has similar modulus of rigidity as silicon

but has three times the density which could be useful for making a high-mass

suspension. The disadvantage of metals is their polycrystalline grain boundary which

increases material damping as energy is lost due to grain boundary slips, dislocations,

interface slips etc. resulting in low quality factor (Q) of the suspensions made from

them. Single crystal silicon is a crystalline material with silicon atoms in very stable

energy levels eliminating losses through grain boundary slips etc. The loss mechanisms

in silicon are through thermoelastic dissipation, phonon-phonon interactions and

internal friction (dependent on defects in crystal lattice) which are much lower

compared to losses through grain boundary slips thus enabling extremely high Q

resonators, at times as high as 600,000 [1.30]. Silicon also has a much higher yield

strength compared to most metals and polymers. Quartz has significantly higher yield

strength and is also a very low-loss material but is harder to pattern due to lack of

standard industry tools compared to silicon.

17
Introduction

The high Young’s modulus and large yield strength are extremely attractive for

mechanical systems. In addition, low crystal defect allows fabrication of high-Q and

high-reliability devices. Silicon also has a high melting point (1683 C), is radiation

safe, non-magnetic and impervious to many chemicals. Single crystal silicon is a

moderately anisotropic material [1.34] and is available in various crystal plane

configurations giving device designers flexibility in orienting structures along suitable

crystal planes. Silicon is a brittle material so failure in silicon devices is generally due to

brittle fracture along <111> plane.

Table 1.4 Comparison of silicon’s mechanical properties with other materials.

Material Silicon[1.31] Nickel Stainless Aluminium Quartz Parylene


[1.32] [1.33] [1.31] [1.31] [1.23]
<111> Steel

Young’s modulus (GPa) 190 207 200 70 380 2-4

Yield strength (MPa) 6.9×103 360 2.1×103 50 14×103 27-70

Density (kg/m3) 2300 8908 7900 2699 1544 1100-1400

Utilizing semiconductor processing methods the devices can be batch fabricated out of

silicon. Traditional semiconductor tools like LPCVD (Low-Pressure Chemical Vapour

Deposition) deposition of polysilicon, RIE (Reactive Ion Etching), wet isotropic and

anisotropic wet etching is supplanted by specialized MEMS tools like DRIE (Deep

Reactive Ion Etching) allowing increased freedom to the suspension designer. Figure

1.9 shows suspensions fabricated from polysilicon and single crystal silicon by various

fabrication methods.

18
Introduction

Figure 1.9 (a) Lateral suspension used in Analog Devices ADXL series accelerometers fabricated

by LPCVD deposition of polysilicon and then RIE etch [1.35], (b) Vertical suspension created by

anisotropically etching silicon by KOH (Potassium hydroxide) [1.21], and (c) Leaf spring part of a

lateral suspension fabricated by DRIE of silcon bonded to an underlying silicon substrate [1.36].

Polysilicon suspensions are fabricated by surface micromachining where thin layers are

deposited by LPCVD and subsequently either reactive ion etched or chemically etched

to fabricate the suspension. These suspensions have extremely low mass due to thin

layers and generally have high resonant frequency, a typical example is the Analog

devices ADXL50 accelerometer which uses a polysilicon lateral suspension (Figure

1.9a) with a resonant frequency of 2.5 kHz and a mg/√Hz noise level.

Anisotropic wet etching using KOH (Potassium hydroxide) or TMAH (Tetra-methyl

ammonium hydroxide) is used to create single crystal silicon structure with (111) or

(110) limiting plane. This particular fabrication technique imposes severe limits on the

device geometry. It is mostly suitable for fabricating out-of-plane suspension with large

proof-mass like the accelerometer developed by Yazdi et al. [1.37](Figure 1.9b) which

has 885 Hz suspension with 2.1 mg mass and 0.2 μg/√Hz noise floor.

LIGA (Lithographie Galvanoformung Abformung) [1.38] uses X-ray lithography to

create high-aspect ratio resist molds for electroplating. The metal structures thus created

19
Introduction

can be used for injection molding plastic components. It is a suitable technique for

creating high-aspect ratio structures in metals (like Nickel) and plastics but the need for

X-ray source makes it an expensive technique with limited availability.

RIE (Reactive Ion Etching) has allowed new freedom in suspension fabrication by

allowing vertical etched structures in silicon. Lately, the requirements for structuring of

silicon as a mechanical structure is moving towards high aspect ratio structures, from

10’s of micrometers deep etch for SOI (silicon-on-insulator) wafers to through-wafer

etching (~500 μm – 1mm). An advanced plasma etching method for structuring of

silicon is the Bosch time multiplexed etching technique [1.28] also known as Deep

Reactive Ion Etching (DRIE), where etching and passivating cycles are alternated

during the process. The method has been used to fabricate high-aspect ratio devices like

accelerometers, gyroscope, micro-SEM, actuators, micro-mirror arrays [1.39] and

through-wafer interconnect [1.40]. Figure 1.10 shows some devices fabricated using

DRIE of silicon.

Figure 1.10 DRIE devices (a) Endevco accelerometer [1.41], (b) Extended travel range

microactuator [1.42].

In this thesis we use DRIE for fabricating the lateral silicon suspension which forms the

backbone of the microseismometer. Silicon lateral suspensions have been used in many

20
Introduction

applications like clockwork springs for storing energy, accelerometer, gyroscopes, shear

stress sensors, and actuators.

1.6 Summary

A brief history of seismology and seismic instrumentation was presented and the

development of seismometers from the early undamped pendulum to the state-of-the-art

broadband electromagnetic seismometer was followed. Conventional seismometers

such as Streckheisen’s STS-1, STS-2, Guralp CMG-3T were discussed and their key

specifications were compared to miniaturized seismometers and accelerometers such as

Analog Devices ADXL05, Honeywell QA-3000 and Sercel DSU3. The ability of the

conventional seismometers to resolve the smallest seismic signals was attributed to low

mechanical suspension noise (self-noise). The suspension self-noise was shown to be

dependent on the mass, quality factor and time period of the suspension. Maximizing

these three factors leads to a low noise floor for the suspension. With miniaturized

sensors particularly with MEMS accelerometers, the suspension self-noise is found to

be very high due to the low-mass and high frequency of the suspension. To overcome

the reduction in mass due to miniaturization, it is proposed to compensate using very

high quality factor material like silicon to fabricate the suspension. The availability of

anisotropic fabrication tools like DRIE was shown to make it possible to fabricate

suspensions with high aspect ratio leading to long period, large mass and high-Q thus

reducing the self-noise of the suspension.

The batch fabrication of the mechanical suspension with integrated electronics to

measure and control the resonator will enable substantial reduction in size, weight, cost,

power consumption and possibly improve performance characteristics while making it

21
Introduction

repeatable across devices. These attributes will lead to a bigger envelope of usage of

seismometry in areas that are currently outside its purview due to prohibitive cost, size

or weight restrictions of their macroscale counterparts. Even though the performance of

these MEMS devices will be lower than the macroscale versions from Streckheisen, and

Guralp, they can still be many orders of magnitude better than comparable miniaturized

seismometers or inertial navigation grade accelerometers.

Some of the potential applications of microseismometers are:

1. Seismic monitoring (terrestrial): Distributed earthquake monitoring stations, Tsunami

alert networks.

2. Structure health monitoring: Bridge, Building, Flyover, and Stadium

3. Oil and gas: Sensor networks for reservoir mapping, Borehole status monitoring, Oil

prospecting.

4. Space seismology: Observation of seismic waves on celestial bodies to understand

the internal structure. Seismometer payloads have been sent on missions to Mars

(Voyager) and are planned for future missions to Mars and Moon by ESA and NASA.

5. Explosion detection for anti-terrorist operation and enforcing NPT (Nuclear non

Proliferation Treaty).

Many of these applications are currently either not undertaken or use low-resolution

devices such as accelerometers or geophones thus compromising the data quality. It is

therefore expected that a MEMS seismometer will open a spectrum of applications for

system designers requiring seismic sensing for their applications of interest.

22
Introduction

1.7 Research Contributions and Scope

Major Contributions:

1. Generic analytical and parametric numerical model for a lateral suspension with

multiple springs and frames. The model incorporates the effects of fabrication process

on structure geometry and is applied to the silicon suspension presented in this thesis,

predicting the modes of the fabricated suspension to within 5% accuracy. The model

can be modified for other suspension designs with folded cantilever flexures.

2. Through-wafer etching of silicon wafers using DRIE – There has been a

significant amount of research and development of devices using DRIE, but through

etching of 525 μm to 1 mm thick wafers is uncommon. This work covers all aspects of

deep silicon etching. Wafer thick suspensions with thin flexures have been successfully

fabricated to achieve one of the lowest resonant frequency (10 Hz) single crystal silicon

suspensions.

3. Development of a state-of-the-art, low-noise lateral silicon suspension. The

lateral silicon suspension developed in this thesis has sub-nano-g/√Hz self-noise and a

low resonant frequency (10 Hz). The suspension self-noise and volume are two to three

orders of magnitude better than the best inertial-grade accelerometers commercially

available and reach the self-noise levels of some of the best conventional seismometers

while still having a volume three to four orders of magnitude lower. The

microseismometer based on this lateral suspension is in discussion for a mission to Mars

led by ESA (European Space Agency).

23
Introduction

To achieve a prototype microseismometer needs other components like sensing and

actuation modules besides the mechanical resonator. It is not within the scope of this

thesis to cover sensing and actuation though a brief summary is provided to present a

complete picture. The microseismometer project is a collaboration of various people and

organisations and therefore the thesis also contains work which was carried in a team

effort with major contributions from the author. Wherever this has happened it has been

pointed out in the text. In general, the author’s major field of work has been the

numerical analysis and fabrication of silicon suspensions.

The work carried out in this thesis has been published in various international

conferences and journals. The list in chronological order is:

S. Kumar, W. J. Karl, S. Vijendran, W. T. Pike, and T. Semple, "Analysis of sidewall

quality in through-wafer deep reactive-ion etching," presented at International

conference on Micro- and Nano-Engineering, Cambridge, UK, 2003.

W. T. Pike, W. J. Karl, S. Kumar, S. Vijendran, and T. Semple, "Analysis of sidewall

quality in through-wafer deep reactive-ion etching," Microelectronic Engineering, vol.

73-74, pp. 340-345, 2004.

W. T. Pike, I. M. Standley, S. Kumar, W. Karl, T. Semple, and S. Vijendran,

"Determination of the dynamics of micromachined lateral suspensions in the scanning

electron microscope," presented at 15th European Micromechanics Workshop, MME

'04, Leuven, Belgium, 2004.

24
Introduction

S. Kumar and W. T. Pike, "Technique for eliminating notching in through-wafer

etching," presented at 16th MME Micromechanics Europe Workshop, Gothenburg,

Sweden, 2005.

W. T. Pike and S. Kumar, "Effect of profile shape on mechanical performance of silicon

lateral suspension," presented at 16th MME Micromechanics Europe Workshop,

Gothenburg, Sweden, 2005.

W. T. Pike and S. Kumar, "Improved Design of Micromachined Lateral Suspensions

using Intermediate Frames," (accepted) Journal of Micromechanics and

Microengineering, 2007.

1.8 Organisation of this Dissertation

This thesis describes the design and fabrication of a low resonant frequency low-noise

silicon lateral suspension. The design and analysis cycle to hit performance benchmark

for a lateral suspension is explained. Experimental results are presented to demonstrate

the design methodology. The fabrication of through-wafer silicon suspension is

analysed and issues related to processing are examined.

The design and feasibility study of a lateral suspension for seismic sensing is presented

in Chapter 2. Chapter 3 describes the analytical and numerical modelling of the

suspension and presents a design flow to satisfy the performance criteria. Chapter 4

deals with the fabrication of silicon suspensions. Issues with DRIE and through-wafer

etching are discussed and a fully functional silicon lateral suspension is fabricated.

Chapter 5 analyses the fabricated suspension and compares the experimental results

25
Introduction

with the analytical and numerical models of Chapter 2. Variation in suspension

behaviour from the model is examined, explained, and the model refined to

accommodate fabrication deviations from the ideal geometry. Chapter 6 looks at the

microseismometer built around the silicon lateral suspension. The processing steps

required to build sensing and actuation components are discussed, and packaging

considerations are presented. Chapter 7 explores the potential use of the demonstrated

silicon lateral suspension, and extends the modelling and fabrication methods described

in the thesis to the manufacturing of other devices. The appendices contain the

derivation of the analytical expressions to calculate the resonant modes of lateral

suspensions, input files for finite element analysis, and the fabrication process

description.

The content of the thesis has been divided into separate chapters on design, analysis and

fabrication even though in reality they feed into each other and a fully functional device

is the outcome of multiple iterations between the design and fabrication cycles. We start

with a model for the lateral suspension and optimize its design based on the desired

specifications. The design is then fabricated by following a set of process parameters

based on some initial presumption; the process is then modified to achieve the etching

characteristics required to achieve the final geometry. The fabricated suspension is then

tested and any discrepancy in results is attributed to either design or fabrication steps.

The models for the design and fabrication are then updated to achieve the final device.

This involved multiple iteration between design and fabrication cycles.

26
Introduction

1.9 Bibliography

[1.1] W. Lowrie, Fundamentals of Geophysics, Cambridge University Press, 1997.


[1.2] A. U. Vallina, Principles of Seismology, Cambridge University Press, 1999.
[1.3] S. Stein and M. Wysession, Introduction to Seismology, Earthquakes, and Earth
Structure, Blackwell Publishing, 2002.
[1.4] P. M. Shearer, Introduction to Seismology, Cambridge University Press, 1999.
[1.5] J. Dewey and P. Byerly, "The early history of seismometry (to 1900)," Bulletin
of the Seismological Society of America, vol. 59, pp. 183-227, 1969.
[1.6] W. M. Telford, R. E. Sheriff, and L. P. Geldart, Applied Geophysics, 2 ed:
Cambridge University Press, 2003.
[1.7] K.-H. Barth, "The Politics of Seismology: Nuclear Testing, Arms Control, and
the Transformation of a Discipline," Social Studies of Science, vol. 33, pp. 743-
781, 2003.
[1.8] E. Wiechert, http://www.geo.physik.uni-
goettingen.de/~eifel/Seismo_HTML/seismograph_pic.htm.
[1.9] G. Streckeisen AG, Dattlikonerstrasse 5, CH-8422 Pfungen, Switzerland.
[1.10] Guralp Systems Limited, 3 Midas House, Reading RG7 8EA, UK
http://www.guralp.net.
[1.11] Sercel, 16 rue de Bel Air, 44474 Cedex, France http://www.sercel.com.
[1.12] Geotech Instruments LLC, 10755 Sanden Drive, Dallas, Texas 75238, USA
http://www.geoinstr.com.
[1.13] Nanometrics inc, 250 Herzberg Road, Kanata, OntarioK2K 2A1, Canada
http://www.nanometrics.ca.
[1.14] P. W. Rodgers, "Self-noise spectra for 34 common electromagnetic
seismometer/preamplifier pairs," Bulletin of the seismological society of
america, vol. 84, pp. 222-228, 1994.
[1.15] Kinemetrics inc, 222 Vista Avenue, Pasadena, CA 91107, USA
http://www.kinemetrics.com.
[1.16] Oyo Geospace Corporation, 7007 Pinemont Drive, Houston, Texas 77040, USA
http://www.oyogeospace.com.
[1.17] J. Peterson, "Observations and modelling of background seismic noise," U. S.
Geological Survey, Albuquerque, New Mexico 1993.

27
Introduction

[1.18] M. J. Usher, "Developments in seismometry," Journal of Physics E: Scientific


Instruments, pp. 501-507, 1973.
[1.19] C.-H. Liu and T. W. Kenny, "A high-precision, wide-bandwidth micromachined
tunneling accelerometer," Journal of Microelectromechanical Systems, vol. 10,
pp. 425-433, 2001.
[1.20] W.-T. Park, R. N. Candler, V. Ayanoor-Vitikkate, M. Lutz, A. Partridge, G.
Yama, and T. W. Kenny, "Fully encapsulated sub-millimeter accelerometers,"
presented at 18th IEEE International Conference on Micro Electro Mechanical
Systems, 2005.
[1.21] N. Yazdi, F. Ayazi, and K. Najafi, "Micromachined inertial sensors,"
Proceedings of the IEEE, vol. 86, pp. 1640-1659, 1998.
[1.22] J. Bernstein, R. Miller, W. Kelley, and P. Ward, "Low-noise MEMS vibration
sensor for geophysical applications," Journal of Microelectromechanical
Systems, vol. 8, pp. 433-438, 1999.
[1.23] Y. Suzuki and Y.-C. Tai, "Micromachined high-aspect-ratio parylene beam and
its application to low-frequency seismometer," presented at The 16th IEEE
International Conference on Micro Electro Mechanical Systems, Kyoto, Japan,
2003.
[1.24] Colibrys Ltd, Maladière 83, CH-2007 Neuchâtel, Switzerland
http://www.colibrys.com.
[1.25] Analog Devices Inc, 20 Cotton Road, Nashua NH 03063, USA
http://www.analog.com.
[1.26] L. M. Roylance and J. B. Angell, "A batch-fabricated silicon accelerometer,"
IEEE Transactions on Electron Devices, vol. 26, pp. 1911-1917, 1979.
[1.27] H. K. Rockstad, J. K. Reynolds, T. K. Tang, T. W. Kenny, W. J. Kaiser, and T.
B. Gabrielson, "A Miniature, High-sensitivity, Electron Tunneling
Accelerometer," presented at The 8th International Conference on Solid-State
Sensors and Actuators and Eurosensors IX, 1995.
[1.28] A. Schilp and F. Laermer, Method of anisotropically etching silicon, US Patent
5501893: Robert Bosch GmbH, March 26, 1996
[1.29] K. E. Petersen, "Silicon as a Mechanical Material," Proceedings of the IEEE,
vol. 70, pp. 420-457, 1982.

28
Introduction

[1.30] R. A. Buser and N. F. De Rooij, "Very high Q-factor resonators in


monocrystalline silicon," Sensors and Actuators A: Physical, vol. 21, pp. 323-
327, 1990.
[1.31] J. W. Gardner, Microsensors: principles and applications, John Wiley & Sons,
1999.
[1.32] K. J. Hemker and H. Last, "Microsample tensile testing of LIGA nickel for
MEMS applications," Materials Science and Engineering A, vol. 319-321, pp.
882-886, 2001.
[1.33] G. T. A. Kovacs, Micromachined transducers sourcebook, McGraw-Hill, 1998.
[1.34] W. A. Brantley, "Calculated elastic constants for stress problems associated with
semiconductor devices," Journal of Applied Physics, vol. 44, pp. 534-535, 1973.
[1.35] ADXL50, "Analog devices ADXL50," http://www.analog.com.
[1.36] G. T. A. Kovacs, N. I. Maluf, and K. E. Petersen, "Bulk micromachining of
silicon," Proceedings of the IEEE, vol. 86, pp. 1536-1551, 1998.
[1.37] N. Yazdi and K. Najafi, "An all-silicon single-wafer micro-g accelerometer with
a combined surface and bulk micromachining process," Journal of
Microelectromechanical Systems, vol. 9, pp. 544-550, 2000.
[1.38] W. Bacher, W. Menz, and J. Mohr, "The LIGA technique and its potential for
microsystems-a survey," IEEE Transactions on Industrial Electronics, vol. 42,
pp. 431-441, 1995.
[1.39] P.-A. Clerc, L. Dellmann, F. Gretillat, M.-A. Gretillat, P.-F. Indermuhle, S.
Jeanneret, P. Luginbuhl, C. Marxer, T. L. Pfeffer, G.-A. Racine, S. Roth, U.
Staufer, C. Stebler, P. Thiebaud, and N. F. d. Rooij, "Advanced deep reactive
ion etching: a versatile tool for microelectromechanical systems," Journal of
Micromechanics and Microengineering, vol. 8, pp. 272-278, 1998.
[1.40] S. J. Ok, C. Kim, and D. F. Baldwin, "High density, high aspect ratio through-
wafer electrical interconnect vias for MEMS packaging," IEEE Transactions on
Advanced Packaging, vol. 26, pp. 302-309, 2003.
[1.41] R. D. Sill, "A 70g full scale accelerometer designed to survive 100,000g
overrange," Endevco Technical Paper TP300.
[1.42] Y. Sun, D. Piyabongkarn, A. Sezen, B. J. Nelson, and R. Rajamani, "A high-
aspect-ratio two-axis electrostatic microactuator with extended travel range,"
Sensors and Actuators A: Physical, vol. 102, pp. 49-60, 2002.

29
two
2 Suspension Design and Feasibility Study

In this chapter we set the noise floor of the suspension. The parameters affecting the

self-noise of the suspension are then analysed. We then develop a generic lateral

suspension in section 2.3 and explore the design space in section 2.4. The fabrication

constraints are presented in section 2.5. The ability of the lateral suspension designed to

attain the self-noise specified is analysed in section 2.6.

30
Suspension Design and Feasibility Study

2.1 Suspension Noise

Design of the micromechanical suspension for seismic sensing requires us to set a target

noise level for the mechanical suspension. The target noise level for the device should

be below the lowest signal that is to be measured using the device. The overall noise

(NEAdevice) of the system is comprised of the mechanical (NEAmechanical) and electronic

noise (NEAelectronic) of the system as given by

2
NEAdevice = NEAmechanical
2
+ NEAelectronic
2 (2.1)

Generally the optimal design for lowest noise occurs when the electronic and

mechanical noise are similar [2.1]. The target total noise for the microseismometer

(NEAdevice) is set to 0.5 ng/√Hz (4.9×10-9 ms-2/√Hz) for the seismometer giving a

mechanical noise NEAmechanical of 0.35 ng/√Hz (3.43×10-9 ms-2/√Hz). This allows the

microseismometer to operate below NLNM in the frequency range between 0.05 – 1 Hz

as shown on Figure 1.4. As we discussed in section 1.4 the NEA of the seismometer is

ultimately limited by the Brownian motion of the suspension given by [2.2],

ω0 (2.2)
2
NEAmechanical = 4k b T
mQ

From equation 2.2 it is clear that low resonant frequency, high quality factor and a large

mass are prerequisite for low-noise mechanical suspension. In the next section we will

discuss these parameters in detail.

2.2 Key Parameters affecting Self-noise

The important figures of merit for a lateral suspension to be used for seismic sensing are

the resonant frequency, quality factor, and cross-axis sensitivity (the separation of

fundamental and spurious modes). These are a partial set of parameters pertinent to a

suspension design for vibration sensing, a full set of all the relevant parameters for

31
Suspension Design and Feasibility Study

vibration sensors can be found in IEEE standard 528-2001 for inertial sensor

technology.

2.2.1 Resonant frequency

Resonant frequency is the property of a system where the system retains input energy

with minimum loss. By driving the devices at their resonant frequency the effect of

small forces is enhanced along with the device signal-to-noise ratio (SNR) [2.3].

In mechanical systems, operating them at resonant frequency ultimately leads to large

amplitude vibration even from low-power driving. It is also desirable at times to reduce

the fundamental frequency of a lateral suspension as far as possible. For instance, below

the fundamental frequency, the displacement of the proof mass due to an applied

acceleration is inversely proportional to the square of the fundamental frequency, and so

to maximize the sensitivity, if used in an accelerometer, the fundamental frequency of

the lateral suspension should be as low as possible.

Figure 2.1 Schematic of a mass-spring-damper system.

For a mass-spring-damper system (Figure 2.1), the resonant frequency is given by

32
Suspension Design and Feasibility Study

k (2.3)
ω0 =
m

where k is the effective spring stiffness and m is the effective mass of the resonating

system.

x = relative motion of proof-mass

w = motion of external frame

u = absolute motion of the suspension

The equation of motion for the suspension is given by

k (u − w) + s(u& − w& ) = −mu&& (2.4)

x=u–w

kx + sx& + m&x& = −mw


&& (2.5)

w = w0ejωt

x = x0ejωtejφ

where ω and φ are the frequency and phase.

x& = jωx and &x& = −ω 2 x (2.6)

Substituting equation 2.6 in equation 2.6,

( k + jωs − ω 2 m ) x = mω 2 w (2.7)

The response is given by

x0 x mω 2 ω2 (2.8)
= = =
w0 w k + jωs − ω 2 m ⎡⎛ k 2⎞ 2⎤
1/ 2

⎢⎜ m − ω ⎟ + (ωs ) ⎥
⎣⎝ ⎠ ⎦

For ω << ω0,

x0 ω 2 (2.9)

w0 ω02

For a suspension with low ω0 the response will be large for measurements below the

resonant frequency.

33
Suspension Design and Feasibility Study

In a mechanical resonator, the system operates by interconversion of energy between

kinetic and potential forms. Potential energy is stored in compressed springs and when

the spring releases, the mass attached to the spring absorbs this energy resulting in

motion. Each cycle of energy transfer is subjected to loss in some form of energy

dissipation in the damper. At resonant frequency this transfer between kinetic and

potential form proceeds with minimum loss, resulting in an enhanced signal-to-noise

ratio.

The response of the seismometer is restricted below the first resonant frequency of the

suspension though it is possible to design equalization circuits which can extend the

velocity amplitude response below the natural frequency [2.4]. Designing a low

frequency suspension thus helps avoid such circuits or increases the effectiveness of the

circuit to improve the response to lower frequencies of vibration.

2.2.2 Quality factor

The quality factor (Q) is a measure of the rate at which a vibrating system dissipates

energy and is defined as the ratio of the energy stored per cycle to the energy dissipated

per radian.

For a vibrating mass-spring-damper system with high-Q, the system responds strongly

to frequencies close to the natural frequency.

34
Suspension Design and Feasibility Study

Figure 2.2 Response vs. frequency for a resonating system showing the bandwidth of the systems

defined as the frequency width at ½ total energy of the signal.

Mathematically, Q can be calculated in several ways. When a system is driven the

relationship to the width of resonance is given by

f0 (2.10)
Q=
Δf

where f0 is the resonant frequency and Δf is the bandwidth, which is defined as the

width in frequency when the energy falls to half its peak value (shown in Figure 2.2).

For oscillations with exponential decay in time (Figure 2.3), Q is given by

ω0τ (2.11)
Q=
2

where ω0 = 2πf0 and τ is decay time and is defined as the time it takes for the amplitude

of oscillation to decay to 1/e (~37%) of its initial value.

35
Suspension Design and Feasibility Study

Figure 2.3 An oscillating system with exponential decay of amplitude in time. Decay time constant,

τ is the time it takes for the amplitude to decay to 1/e times its initial value.

Factors that affect Q for silicon suspensions are:

• surface layers (oxide, metal and polymer layers)

• material impurity and defects (using single crystal silicon reduces material

defects)

• temperature

• enclosure pressure (evacuating the enclosure reduces air damping)

• anchor loss

• interfering modes (mode decoupling to reject unwanted signals)

The advantage of using single crystal silicon for fabricating low-noise suspensions is

the high-Q attainable in the material. Single crystal silicon has been used to fabricate

mechanical resonators with Q’s as high as 600,000 [2.5, 6].

36
Suspension Design and Feasibility Study

2.2.3 Proof-mass

The NEA of the suspension is inversely proportional to the mass of the seismic weight

(proof-mass). We saw in Figure 1.6, the self-noise of larger seismometers is

substantially lower than the miniaturized versions due to the advantage of a large mass

used in conventional seismometers. With miniaturization the proof-mass is typically

small which adversely affects the self-noise so in designing the suspension we will

attempt to maximize the proof-mass to the extent possible.

When choosing a fabrication technique for making the suspension it is important to

select one which can create thicker structures. Typical semiconductor processes are

geared towards thin film technology (100’s of nm to 1-5 μm) which leads to extremely

low mass of the suspensions. Recent availability of high aspect ratio micromachining

tools based on reactive ion etching makes it possible to fabricate suspensions 500 μm to

1 mm thick.

2.2.4 Cross-axis sensitivity

Even though cross-axis sensitivity does not directly affect NEA, we will see in section

3.3.2 that this can restrict our ability to design very low resonant frequency suspension

as the rejection ratios for the spurious modes drops with reducing resonant frequency,

thus reducing the bandwidth of the suspension. The proof mass should move only along

one direction to minimize erroneous readings from displacements in other directions.

This is achieved by increasing the spring compliance along the direction of motion ,

which is also necessary for achieving low-resonant frequency, while increasing stiffness

to translations along the other two axes and rigidity to rotation about all axes. The

37
Suspension Design and Feasibility Study

suspension needs to be designed to have symmetry along the orthogonal axes to the

compliant axes to avoid cross-coupling between the axes.

The sensitivity to measure vibrations along any axis is inversely proportional to the

square of the resonant frequency (equation 2.9), so a low resonant mode along the

compliant axis (X-axis) leads to high sensitivity to motion along that axis. Pushing the

other modes (spurious modes) higher reduces the sensitivity of the suspension to

measuring vibration along any other axes.

The bandwidth of an accelerometer is the range of frequencies over which it is

operational. For an open-loop accelerometer the resonant frequency of the suspension

sets the higher limit for the bandwidth. With the use of a feedback circuit, the

bandwidth of the system is pushed up and is dependent on the gain of the feedback

circuit, which is the reason we have not considered bandwidth as one of the key

parameters here. In the presence of a feedback circuit, the first spurious mode defines

the bandwidth of the system, as the sensor response will not be linear when the

frequencies of vibration to be detected are near the spurious mode. This means one

needs to design a suspension with spurious resonant frequencies much higher than the

fundamental frequency to increase the bandwidth of the suspension. Chapter 3 will look

in detail at design features which are used to increase the cross-axis decoupling of

modes.

2.3 Suspension: Generic Design Principle

Lateral suspensions have been utilized in MEMS to produce resonant structures like

comb drive resonators, inertial sensors like accelerometers and gyroscopes and for

38
Suspension Design and Feasibility Study

lateral translational stages used in optical devices. In all these devices performance will

be enhanced by maximizing the separation of the fundamental and other spurious modes

thus increasing the usable bandwidth of the suspension. As we discussed in section

2.2.3 the ideal suspension would be solely compliant along the direction of motion (X-

axis) of the proof-mass with maximum stiffness in all other axes. In its simplest form

the suspension will consist of two springs either side of a proof-mass connected to an

external fixed frame.

A 6DOF (Degree of Freedom) system will have six simultaneous equations for the

general case but it becomes separable in their coordinates if there are three planes of

symmetry to the suspension [2.7]. Such a suspension has no coupling between motion in

each coordinates. We will see in section 3.2 how this decoupling greatly simplifies the

analysis by removing off-diagonal terms from the equation of motion matrices for the

6DOF system. Hence, to decouple the motion along the various axes we consider

center-of-gravity systems, so called as symmetry implies that the elastic forces of the

suspension are directed through the center of the suspended mass.

Figure 2.4 A simple suspension with folded cantilever beams as spring on either side of a proof-

mass attached to a fixed external frame. The pair of springs on either side of the suspension only

allows dual planes of mirror symmetry about xy and xz planes as shown by the arrow in the middle

of the proof-mass.

39
Suspension Design and Feasibility Study

Folded cantilever beams have been a frequent design choice to best accommodate these

constraints in lateral suspensions [2.8-12]. A simple suspension can be designed with a

series of folded cantilevers on either side of the proof-mass (Figure 2.4) which softens

the suspension as well as improves the linearity as the deflection of each cantilever is a

lower multiple of the beam thickness.

However, a simple series of folded cantilevers lacks symmetry in the plane

perpendicular to the compliant direction and to avoid the dynamic and analytical

problems of cross-coupling between the axes mentioned earlier, the unit of suspension

is taken as a mirror pair of folded cantilevers [2.13, 14], which reintroduces the plane of

symmetry to the resulting center-of-gravity system. The folded cantilever pairs are

linked at their central attachment points as shown in Figure 2.5. This results in an

increased stiffness of the suspension to motion along the Z-axis. This is due to the

additional constraint of no relative motion in the x-direction for the pair at the linkage.

Figure 2.5 A center-of-gravity suspension design with symmetry along all the three axes. The joint

folded cantilever pairs on either side of the proof-mass provide symmetry about xy, yz and zx

planes. Symmetry in the lateral plane is shown by the arrows in the middle of the proof-mass. The

cantilever pairs are linked at the center to increase the stiffness compared to an unconnected pair.

40
Suspension Design and Feasibility Study

The suspension design shown in Figure 2.5 is the basis of the all the lateral suspension

designed and fabricated in this thesis. Various configurations using multiple joint-

cantilever pairs as springs are considered along with more advanced designs

incorporating intermediate frames as will be discussed in chapter 3.

In addition to symmetry, there are further constraints on the design of the suspension. It

should be possible to fabricate the suspension using MEMS processing techniques

which sets restrictions on minimum feature size and gap. A minimal area should be

required for fabrication to reduce cost. The proof-mass should have sufficient throw in

the compliant direction to cover required motion. Damping should be considered for the

suspension and complete assembly. These issues are considered in later chapters on

analysis, fabrication and assembly of the suspension.

2.3.1 Homogenous triaxial configuration

Figure 2.6 Homogenous triaxial configuration also called Galperin configuration (Source: [2.15]). u,

v, w are the responses of the sensor along the Galperin axes UVW.

To observe the vibration in all directions, a triple set of sensors are oriented along the

three axes X, Y and Z. For seismic sensing, the standard way is to place a seismometer

41
Suspension Design and Feasibility Study

for detecting ground motion along East, North and Upwards (Z) [2.15]. The designs for

horizontal and vertical vibration sensors are different, and to integrate them into a single

response is non-trivial. An alternative design for a three-component system is to use

three identical horizontal sensors whose sensitive axis is inclined against the vertical at

same angle (θgalperin = 54.74°) like the edges of a cube standing on its corner

[2.16],[2.17] as shown in Figure 2.6.

The response along the three axes can be calculated from the response along the

sensitive axis of each of the sensor by using the transformation matrix given by

⎛ x⎞ ⎛− 2 1 1 ⎞⎛ u ⎞ (2.12)
⎜ ⎟ 1 ⎜ ⎟⎜ ⎟
⎜ y⎟ = ⎜ 0 3 − 3 ⎟⎜ v ⎟
6
⎜z⎟
⎝ ⎠
⎜ 2
⎝ 2 2 ⎟⎠⎜⎝ w ⎟⎠

For a seismometer the X axis is normally oriented towards East, and the Y axis points

North. Presently there are two commercial seismometers with homogenous triaxial

configuration, Streckheisen STS-2 and Nanometrics Trillian 120P.

The microseismometer under development is intended for tri-axial measurement using

the homogenous triaxial configuration. The fabricated suspensions will deform under

gravity when placed in the Galperin configuration. To avoid having to compensate for

lateral deflection the springs are preformed such that under gravity the proof mass is

centred (Figure 2.7).

The lateral deflection of the proof mass under gravity which is compensated by

preformed springs imposes a design constraint on the natural frequency of the

suspension when the die size is fixed.

42
Suspension Design and Feasibility Study

Figure 2.7 (a) A typical single spring suspension with preformed springs, (b) showing the off-

centred proof-mass in horizontal position and (c) Centring of the proof-mass under gravity in

Galperin orientation.

2.4 Design Inputs

One of the key goals of MEMS device fabrication is to batch fabricate multiple devices

on the same wafer. For our design we have considered a widely available 100 mm

diameter silicon wafer of 525 μm nominal thickness.

The device die size sets the natural frequency of the suspension. The larger the device

die, the lower will be the natural frequency of the suspension assuming we use the same

fraction of the die as proof mass and the springs are the same thickness and equal in

number. From mass and natural frequency considerations, fabricating a single

suspension out of the whole wafer will give us the best performance but the cost will be

high and a single defect can reduce the yield to zero. Taking production and cost issues

into consideration, a reasonable device size might be one which can lead to an

acceptable natural frequency and yield multiple devices in a single run.

43
Suspension Design and Feasibility Study

Figure 2.8 Schematic of the suspension showing the proof-mass and spring dimensions.

Assuming suspension geometry similar to Figure 2.5 we can look at the relation

between die size (the overall size of the substrate containing a single suspension) and

the resonant frequency of the largest single spring pair suspension which can be

fabricated within that die. The suspension is assumed to have a uniform thickness of t,

considering the spring pair in Figure 2.8 supporting the proof-mass (dimension l×wm) is

made of 8 cantilevers of length l, and width w, the spring stiffness for the suspension is

given by

3EI (2.13)
k = 8×
l3

1 3 (2.14)
I= wt
12

The resonant frequency ω is given by

(2.15)
k
ω=
m

Assuming the proof-mass is always the same fraction of the overall die size and the

spring and proof-mass length are the maximum length possible in the die (approximated

44
Suspension Design and Feasibility Study

to the length of the die) and the spring width and thickness are the same across the die

sizes. Doubling the width of the die doubles the width of the proof mass as well. The

resonant frequency ω is then proportional to the die dimensions as

24 EI 24 Ew 3t 2 Ew 3t 1 (2.16)
ω2 = = = ∝ 4
ml 3
12 ρl wm t ρl wm t l wm
4 4

From equation 2.16 doubling the die length and width (quadrupling the area of the die)

decreases the resonant frequency by a factor of 4√2. Figure 2.9 shows the variation of

natural frequency with die size. This implies that if we are able to design a 20 mm × 20

mm die with 10 Hz resonant frequency, a similar design with same spring thickness and

proof-mass:die ratio will lead to 56.57 Hz for 10 mm × 10 mm die and 314.75 Hz for a

5 mm × 5 mm die.

Figure 2.9 Variation of natural frequency with die size. Doubling the die length and width

(quadrupling the area) decreases the resonant frequency by 4√2.

As we discussed in section 1.3, suspension self-noise is a critical parameter, NEA is

dependent on the resonant frequency and Q of the suspension and generally a

suspension with low resonant frequency and high-Q will have a low NEA as seen from

Figure 2.10. The mass of the suspension is taken as 0.26 grams and the temperature is

300 K for the Q measure.

45
Suspension Design and Feasibility Study

Figure 2.10 Variation of mechanical noise with resonant frequency for varying Q. The mass of the

suspension is 0.26 grams and temperature is 300K.

We have fixed the die size at 20 mm × 20 mm as this allows for the fabrication of 12

dies on a single 100 mm wafer which means a yield of even 8% will lead to a single

functioning suspension, as we will see later for fabricating a suspension the die yield is

close to 100% after sufficient process development.

Commercial long period seismometers have a time period of 1 to 10 seconds or higher.

It is possible to fabricate a suspension with a natural frequency of 1 Hz, but when the

suspension is tilted to the Galperin angle the lateral deflection of the proof mass brings

the springs in contact with each other making the suspension useless for measuring the

movement of the proof mass. In these cases the suspension with preformed springs can

not be fabricated as the preforming will not be sufficient to counter-balance the force

under gravity acting on the proof-mass.

46
Suspension Design and Feasibility Study

For initial suspension dimension calculations we will consider a design geometry shown

in Figure 2.11 which is similar to the design in Figure 2.5 and in addition includes space

for an electrical connector pad and an external frame which supports the suspension

within.

Figure 2.11 Schematic of the suspension design with one spring and mass which is assumed to be

50% of the die area for initial estimates.

As we discussed in section 2.3.1 the intent of the current design is to preform the

springs so that when the suspension is oriented along the Galperin axis the proof mass is

centred (Figure 2.7c). The lateral suspension sag in Galperin configuration is given by

g galperin (2.17)
Δx galperin =
ω 02

47
Suspension Design and Feasibility Study

g galperin = g cos(θ galperin ), θ galperin = 54.74° (2.18)

The dimensional limits on the mass and springs are set by considering some standard

dimensions for frames, connector pads, and proof mass. We will initially assume that

50% of the area is proof mass, in addition the external frame is assumed to be 2 mm

thick with 2 mm connector on one side (Figure 2.11). This allows 16 mm as the spring-

mass length.

Table 2.1 Dimensional parameters for suspension design.

Parameter Value

Die length, Ldie 20 mm

Die width, Wdie 20 mm

Frame thickness, wframe 2 mm

Connector area width, wconn 2 mm

Proof mass length, lmass 10 mm

Proof mass width, wmass Wdie – 2*wframe = 16 mm

Spring length, lspring lmass

From Figure 2.7a we can see that for a preformed spring we have 4 mm on each side of

the proof mass. The spring element can be seen as composed of a link, two spring

beams and another link. The gap between the spring beams is s and the link width is s/2.

For preforming we can assume the proof mass sag to be 4 mm (neglecting spring

thickness for initial estimate). Using equations 2.17 and 2.18 we calculate the minimum

resonant frequency for a one-spring suspension.

g galperin 5.662 − 2 (2.19)


ω02 = = −3
s ⇒ ω0 = 37.62 s −1 ⇒ f 0 = 6 Hz
Δx galperin 4 × 10

48
Suspension Design and Feasibility Study

So we can ideally design a suspension with 6 Hz resonant frequency with the

dimensions given above, now we need to calculate the spring width which will be

needed for achieving 6 Hz suspension. We will use equations 2.16 for calculating the

width of the spring for the specified spring geometry.

24 EI x , spring 3
2 Ewspring t spring (2.20)
ω0 = 3
= 3
mmass l spring mmass l spring

mmass = lmass×wmass×tmass×ρ = 2.19×10-4 kg (2.21)

Using equation 2.20 and dimensional parameters from Table 2.1 we calculate the

resonant frequency for various spring thicknesses as shown in Figure 2.12.

30

25

20
ω (Hz)

15

10

5
16 21 26 31 36
Spring thickness (μm)

Figure 2.12 Variation of resonant mode with spring thickness for a 20mm×20mm die size and

10mm×16mm proof-mass.

49
Suspension Design and Feasibility Study

From Figure 2.12 it is evident that for a 6 Hz suspension the ideal thickness determined

theoretically is 18.2 μm. As we will see in section 2.5 this may need to be modified to

satisfy other design and process constraints.

2.5 Micromachining Process Design Limitations

The design limitations discussed here are analyzed in detail in chapter 4 on fabrication

but it becomes imperative to handle these parameters here to set the ground work for the

next chapter on analytical and numerical modelling.

To maximize the proof mass weight, the suspension is fabricated by through-wafer

etching of a 525 μm thick silicon wafer. This imposes a constraint on the minimum

feature size which can be etched through the thickness of the wafer.

Figure 2.13 Metal traces running on the springs connecting the electromagnetic feedback coil and

electrostatic capacitive feedback electrodes.

50
Suspension Design and Feasibility Study

The first constraint is on the width of a gap that can be etched through the thickness of

the wafer; this gap is about 40 μm for best etching characteristics with our silicon

thickness.

The second constraint is on the minimum width of a spring which will be able to

support the metal traces running on the top. Eight traces are required to connect the

three set of coils and a pair of pickup electrodes on the proof-mass resulting in two trace

per spring beam. The minimum width of metal trace is 6 μm with a gap of 4 μm

between the traces to satisfy local fabrication facility processing limitations. This leads

to a minimum spring thickness of 24 μm for two traces running on the springs as shown

in Figure 2.13. The two traces on each spring is needed for the differential capacitive

pickup circuit and electromagnetic feedback coil, both these components are discussed

in chapter 6.

wgap ,min = 40μm (2.22)

wspring ,min = 24 μm (2.23)

We can see that the spring thickness we calculated in section 2.4 of 18.2 μm is below

the minimum spring thickness allowed by the fabrication process. So we can either

increase the number of springs so the thickness is higher for the spring beams or

increase the resonant frequency of the suspension by using a thicker spring. Using

equation 2.9 for spring width of 24 μm the resonant frequency is calculated to be 9.08

Hz. Giving leeways for design dimensions and processing constraints, we set a target

resonant frequency of 10 Hz for the lateral suspensions. We will analyze the suspension

dimensions further in chapter 3 with a set of optimum designs whose fabrication is

covered in chapter 4.

51
Suspension Design and Feasibility Study

2.6 Feasibility Study

High performance macroscale seismometers have noise floor of 10-10 m/s2/√Hz. Given

our aim to fabricate a sub-nano-g suspension, our target noise floor is 0.35 ng/√Hz.

From the die size, target suspension noise and initial design value for the suspension

resonant frequency we can assess the feasibility of the design in regards to the required

Q and the amount of the die area required for “sag” of the device under Galperin tilt.

NEAt arg et = 0.35ng / Hz = 3.43 × 10 −9 m / s 2 / Hz (2.24)

ω0 ≈ 10 Hz (2.25)

Using equation 2.2 for calculating the NEA of suspension, we can calculate the required

Q for the target NEA at a nominal operating temperature of 20 C.

4k bTω0 (2.26)
Qt arg et = ≈ 330
NEAt2arg et .mmass

So if the fabricated device has a resonant frequency of 10 Hz and Q of above 330, the

device will be able to achieve the mechanical self-noise target.

We have to check that the suspension damping will be low enough to allow a Q of

above 330. For the suspension without any encapsulation squeeze film damping will be

most dominant, the expressions for squeeze film damping for two surfaces with overlap

l, spacing between the surfaces h and depth t is given by [2.18]

mω 0 14ηlt 3 (2.27)
Qsqueeze = , α squeeze =
α squeeze Nh 3

52
Suspension Design and Feasibility Study

For l = lspring, t = tspring, and h = spacing between the spring beam = 2 mm, we can use

equation 2.27 to calculate the Qsqueeze for the suspension with N = 1 springs to be ~7400

which is significantly higher than the required Qtarget. Figure 2.14 shows Qsqueeze vs.

number of springs, N. The Q-factor due to squeeze damping reduces with smaller spring

gap for multiple sets of springs as the damping is higher. For N < 4, Qsqueeze is above

Qtarget.

8000

7000

6000

5000
Qsqueeze

4000

3000

2000

1000

0
1 2 3 4 5 6
Number of Springs (N )

Figure 2.14 Quality factor (due to squeeze film damping), Qsqueeze variation for multiple spring

suspension (N).

2.7 Summary

The targeted noise floor for the suspension was set to 0.35 ng/√Hz. To attain such low

self-noise the suspension should have large mass, low resonant frequency and high

quality factor.

The lateral suspension is covered in US patent 6776042 (Pike et al.). The author’s role

in the design process is to help model various suspensions to set the dimensional

parameters to attain the target characteristics.

53
Suspension Design and Feasibility Study

The lateral suspension design is based on folded cantilever beams which are commonly

used in designing suspensions for MEMS accelerometers. The lack of symmetry

perpendicular to the motion of the suspension leads us to develop a suspension with a

mirror pair of folded cantilever springs joined at the center.

To measure vibration along all three axes and avoid different designs for vertical and

horizontal suspensions, we decided to use a Galperin configuration. The suspension

springs are preformed so that under gravity at Galperin tilt, the proof-mass is centred.

This puts constraint on the resonant frequency of the suspension for a specific die size

due to the lateral deflection of the proof-mass under gravity at Galperin tilt.

The lowest resonant frequency suspension possible in a 20 mm × 20 mm die with 50%

proof-mass area was 6 Hz. With folded cantilever springs, this leads to a spring

thickness of 18.2 μm which is below the 24 μm minimum feature size constraint of the

fabrication process. A 24 μm spring thickness results in a 9.08 Hz suspension.

Assuming a 10 Hz resonant frequency and a mass of 0.26 grams (50% of the die area)

we can attain the NEA of 0.35 ng/√Hz (3.43×10-9 m/s2/√Hz) if the suspension has a Q

higher than about 330. The squeeze flow damping of a suspension with less than four

sets of springs was shown to satisfy the Q requirement.

2.8 Conclusion

We have demonstrated the feasibility of a lateral suspension which can attain the target

self-noise by designing a mirrored folded cantilever suspension. Availability of

54
Suspension Design and Feasibility Study

fabrication tools like DRIE allows us to design suspensions which are hundreds of

microns thick thus increasing the seismic mass.

The key parameters for the silicon lateral suspension set from device design and

fabrication constraints are:

NEAt arg et = 0.35ng / Hz = 3.43 × 10 −9 m / s 2 / Hz

ω0 ≈ 10Hz

Qt arg et ≥ 330

N ≤ 3, for suspension within 20 mm × 20 mm die size and 50% proof-mass area

Devices made of single crystal silicon have been reported in literature to attain Q’s as

high as 100,000 [2.19] and 600,000 [2.5] which increases our confidence that the

suspension design can achieve the self-noise target. We can design suspensions with up

to three sets of springs while still staying within the target Q levels in normal

atmosphere. Vacuum packaging the device will remove all consideration for squeeze

film damping and remove any restriction imposed on putting the springs close together.

In the next chapter we analyze the suspension analytically and numerically considering

an ideal processing environment where the design is transferred into silicon rectilinearly

to what is many times referred as a 2.5D structure – A 2D design extruded vertically in

the third dimension.

55
Suspension Design and Feasibility Study

2.9 Bibliography

[2.1] J. M. Tsai and G. K. Fedder, "Mechanical noise-limited CMOS-MEMS


accelerometers," presented at 18th IEEE International Conference on Micro
Electro Mechanical Systems, Miami Beach, FL, USA, 2005.
[2.2] M. J. Usher, "Developments in seismometry," Journal of Physics E: Scientific
Instruments, pp. 501-507, 1973.
[2.3] A. A. Seshia, Integrated Micromechanical Resonant Sensors for Inertial
Measurement Systems, Ph.D. Thesis, Electrical Engineering and Computer
Science, University of California, Berkeley, 2002
[2.4] P. M. Roberts, "A versatile equalization circuit for increasing seismometer
velocity response below the natural frequency," Bulletin of the Seismological
Society of America, vol. 79, pp. 1607-1617, 1989.
[2.5] R. A. Buser and N. F. De Rooij, "Very high Q-factor resonators in
monocrystalline silicon," Sensors and Actuators A: Physical, vol. 21, pp. 323-
327, 1990.
[2.6] J. L. Yang, M. Despont, U. Drechsler, B. W. Hoogenboom, P. L. T. M. Frederix,
S. Martin, A. Engel, P. Vettiger, and H. J. Hug, "Miniaturized single-crystal
silicon cantilevers for scanning force microscopy," Applied Physics Letters, vol.
86, pp. 134101, 2005.
[2.7] H. J. Himelbau and S. Rubin, Vibration of a resiliently supported rigid body, in
Shock and Vibration Handbook, C. M. Harris, Ed., 4th ed. New York: McGraw-
Hill, 1996, pp. 3.1-3.57.
[2.8] H. Seidel and L. Csepregi, "Design optimization for cantilever-type
accelerometers," Sensors and Actuators, vol. 6, pp. 81, 1984.
[2.9] J. D. Grade, K. Y. Yasumura, and H. Jerman, "A drive comb-drive actuator with
large, stable deflection range for use as an optical shutter," presented at 12th
International Conference on Solid-State Sensors, Actuators and Microsystems,
Boston, USA, 2003.
[2.10] Y. Suzuki and Y.-C. Tai, "Micromachined high-aspect-ratio parylene beam and
its application to low-frequency seismometer," presented at The 16th IEEE
International Conference on Micro Electro Mechanical Systems, Kyoto, Japan,
2003.

56
Suspension Design and Feasibility Study

[2.11] J. A. Yeh, C.-N. Chen, and Y.-S. Lui, "Large rotation actuated by in-plane rotary
comb-drives with serpentine spring suspension," Journal of Micromechanics
and Microengineering, vol. 15, pp. 201-206, 2005.
[2.12] G. Zhou, "Tilted folded-beam suspension for extending the stable travel range of
comb-drive actuators," Journal of Micromechanics and Microengineering, vol.
13, pp. 178, 2003.
[2.13] H. Seidel, H. Riedel, R. Kolbeck, G. Mueck, and W. Kupke, "Capacitive silicon
accelerometer with highly symmetrical design.," Sensors and Actuators B:
Chemical, vol. 21, pp. 312, 1990.
[2.14] E. Peeters, S. Vergote, B. Puers, and W. Sansen, "A highly symmetrical
capacitive micro-accelerometer with single degree-of-freedom response,"
presented at International Conference on Solid-State Sensors and Actuators, San
Francisco, CA, USA, 1991.
[2.15] E. Wielandt, Seismometry, in International Handbook of Earthquake and
Engineering Seismology, Part A, W. H. K. Lee, H. Kanamori, P. Jennings, and
C. Kisslinger, Eds.: Academic Press, 2000, pp. 283.
[2.16] E. I. Gal'perin, "Azimutal'nij metod sejsmicekich nabludenij," Gostoptechizdat
1955.
[2.17] B. S. Melton and B. M. Kirkpatrick, "The symmetric triaxial seismometer - its
design for application in long-period seismometry," Bulletin of the
Seismological Society of America, vol. 60, pp. 717-739, 1970.
[2.18] W. A. Clark, Micromachined Vibratory Rate Gyroscope, Ph.D. Thesis,
Electrical Engineering and Computer Science, University of California,
Berkeley, 1997
[2.19] S. Pourkamali, A. Hashimura, R. Abdolvand, G. K. Ho, A. Erbil, and F. Ayazi,
"High-Q single crystal silicon HARPSS capacitive beam resonators with self-
aligned sub-100-nm transduction gaps," Journal of Microelectromechanical
Systems, vol. 12, pp. 487-496, 2003.

57
three
3 Suspension Dynamics

In this chapter we analyze the suspension design developed in chapter 2. We use an

analytical model to explore the design space and verify the results using finite element

analysis. To design low resonant frequency suspensions we consider multiple spring

sets and look at the pros and cons of the design. Intermediate frames are shown as a way

to decouple the effect of multiple spring sets on spurious modes. Various other design

modifications like reduced mass frames, spring end connector compliance and linkage

geometries are analyzed. Finally, a set of suspension designs fulfilling the specifications

on NEA and resonant frequency are proposed.

58
Suspension: Dynamics

3.1 Introduction

The behaviour of the fundamental mode of lateral suspensions can be analysed in terms

of a one-degree-of-freedom (1DOF) system [3.1, 2] but this neglects all potential

spurious modes. Finite element analysis (FEA), which is able to extract any number of

spurious modes, has been applied to the uniaxial suspension of the Analog Devices

accelerometer [3.3]. Analytic approaches and FEA have been used to optimize the

design of a dual-axis suspension [3.4]. In this chapter we apply analytical and numerical

models to optimize the design of lateral suspensions with folded-cantilever flexures.

As described in chapter 2 we consider a canonical folded-cantilever lateral suspension

of the simplest symmetric design. A complete set of analytical expressions (derived in

Appendix A) is considered in conjunction with a finite element model for the six

degrees of freedom (6 DOF) system. Further modes due to the finite mass of the

suspension are then included. We also analyze dependence of the spurious-mode

rejection ratios on simple multiples of the aspect ratios of the suspensions giving

straight forward heuristic design for suspension optimization. Next, suspensions with

multiple spring sets are studied to lower the fundamental frequency. Finally,

intermediate frames coupling portions of the suspension are explored as a novel

approach for enhancing the performance [3.5]. A full set of analytical equations and

numerical analysis is applied to the off-axis modes of a suspension incorporating such

frames.

The analysis is focused on developing a model for the suspension to predict its

dynamical characteristics prior to fabrication. It also offers a test bed to investigate the

design space and improve the cross-axis rejection ratio of spurious modes.

59
Suspension: Dynamics

3.2 Lateral Suspension

Figure 3.1 Schematic of a lateral suspension illustrating the model geometry and the critical

dimensions.

The lateral suspension is shown schematically in Figure 3.1. Geometrically, the

suspension is an extrusion of a two-dimensional pattern. Physically, the depth of the

extrusion is given by the thickness of the etched layer, which is the full wafer thickness

(t). The suspension consists of two springs either side of a proof mass connected to an

external fixed frame. The ideal suspension would be solely compliant along the X-axis

with maximum stiffness to translations along the other two axes and rigidity to rotation

about all axes. It is assumed that the suspended mass is perfectly rigid. It is further

assumed, at least initially, that the suspension is massless, which is reasonable when

considering cross-axis dynamics. A massive suspension is later treated as a perturbation

60
Suspension: Dynamics

on the massless solution. Under these assumptions the analysis of such a suspension

involves the solution of the equations of motion for a 6DOF system [3.6]:

(3.1)
&& + [C]u& + [K ]u = 0
[M ]u

where u is the coordinate vector,

(3.2)
u = [ x, y, z , α , β , γ ]T

x, y, z are displacement along the three axes, α, β, γ are the rotational components about

the axes X, Y, Z respectively.

[M] is the inertial matrix, which has the diagonal components (m, m, m, I xx , I yy , I zz ) and

symmetric off-diagonal terms dependent on the shape of the suspended mass, [C] is a

symmetric matrix of the damping terms and [K] is a symmetric stiffness matrix. The six

simultaneous equations for the general case become separable in their coordinates if

there are three planes of symmetry to the suspension [3.7]. Such a suspension has no

coupling between motion in each coordinate implying that all the off-diagonal terms in

M and C are zero. This decoupling not only greatly simplifies analysis but is necessary

if the suspension is used for transduction. Here we consider only such center-of-gravity

systems, so-called as symmetry implies that the elastic forces of the suspension are

directed through the centre of the suspended mass. In addition, the system is further

simplified by neglecting damping as the aim of the analysis is to optimize the design in

terms of compliance. The resulting six separable equations are:

⎡ &x& ⎤ ⎡x⎤ (3.3)


⎢ &y& ⎥ ⎢ y⎥
⎢ ⎥ ⎢ ⎥
⎢ &z& ⎥ ⎢z⎥
[m m m I xx I yy I zz ]⎢ ⎥ − [k x ky kz kα kβ kγ ]⎢ ⎥ = 0
⎢α ⎥ ⎢α ⎥
&&
⎢ β&&⎥ ⎢β ⎥
⎢ ⎥ ⎢ ⎥
⎣ γ&& ⎦ ⎣γ ⎦

61
Suspension: Dynamics

which has the customary normal-mode solution, ui = ai sin(ωi t + φ ) where normal mode

frequencies are

ω i = K ii / M ii (3.4)

with ai and φ set by the initial conditions. The spring constants, and hence the

frequencies of the normal modes, determine the performance of the suspension. To

optimize, the frequency ratio of the cross-axis modes to the on-axis mode, ωi ≠ x ωx

should all be as high as possible. As there could often be opposing effects on the various

rejection ratios ωi ≠ x ωx for a particular design parameter, a near optimum suspension

design will in general have its two lowest cross-axis-mode frequencies approximately

equal.

The canonical status of this suspension is evident in its application in a number of

designs, e.g. [3.8-12]. A detailed analysis of this suspension is therefore justified in

order to set a rational design methodology. One obvious approach, optimization through

FEA, has already been applied to this suspension design in order to optimize harmonic

modes for a differential capacitive accelerometer [3.3] but FEA does not produce

general design rules. The analytical formulas developed in Pike et al [3.5] (derivation

presented in Appendix A) and used here should provide a more heuristic approach to

lateral suspension design. In deriving these analytical formulas, FEA (ANSYS, Inc.,

Canonsburg PA), was applied to elements of the suspension to ensure that all the

important modes of deflections were included, and also to crosscheck numerically the

analytical expressions. In order to produce reasonable FEA simulation run times and

node numbers, the suspension was taken as a simple extrusion. In the first instance, a

62
Suspension: Dynamics

minimal suspension consisting of a single spring unit either side of the proof-mass is

considered. Complete derivation for the various modes is presented in Appendix A.

3.2.1 On-axis compliance

The planar geometry (Figure 3.2) of each spring unit can be characterized by the values

of the beam length l, beam width w, beam spacing (from beam axis to beam axis) s,

linkage length width ws, and linkage length, taken here to be (s-w)/2 to give a unit

dimension in the compliant direction of 2s. The detailed elbow geometry is left

undefined. There are two important geometric ratios defining the suspension; the beam

cross-sectional aspect ratio, w/t; the suspension ratio of the beam spacing to the beam

length, s/l. The simplest suspension consists of one unit on each side of the proof mass

(Figure 3.1). Such a suspension satisfies the required symmetry conditions and the six

spring constants can be solved separately for motion along and about each axis.

Figure 3.2 Schematic of a single spring set for the lateral suspension showing the geometry and

critical dimensions.

63
Suspension: Dynamics

The compliant direction for the lateral suspension is taken along X-axis while Z-axis is

the out-of-plane direction. Using cantilever bending formula from Roark[3.13],the

spring stiffness constant for the suspension along the compliant direction is given by

24 EI x (3.5)
kx =
l3

where E is the Young’s modulus of silicon, Ix is the area moment of inertia given by

1 w3t and l is the length of the spring as shown in Figure 3.2. The fundamental mode
12

along the X-axis is given by

(3.6)
kx
ωx =
m

where m is the mass of the proof-mass. The mode shape is shown in Figure 3.3.

Figure 3.3 Fundamental mode shape of a single spring set lateral suspension. The proof-mass is

resonating along the X-axis.

To maximize the compliance along this direction for a suspension fabricated in an

anisostropic crystal such as silicon, the beams should be orientated to minimize E. For

silicon this corresponds to the <100> directions [3.14].

64
Suspension: Dynamics

3.2.2 Cross-axis translational compliance

Cross-axis translational compliance of the complete suspension is determined by the

spring constants in the y and z direction which are derived by considering the

deflections of the suspended mass due to a test force applied at the centroid of the mass

in the required direction.

The spring stiffness constant in the y direction for the lateral suspension with a single

set of springs is given by

8EI x (3.7)
ky =
ls 2

The rejection of the y mode can then be calculated as

ωy l (3.8)
=
ωx 3s

The mode shape is shown in Figure 3.4.

Figure 3.4 ωy, Mode shape of a single spring set suspension resonating along Y-axis.

Next, the deflection in the out-of-plane z direction, often the most important deflection

to minimize, is considered. The linkages as considered perfectly rigid. To calculate the

65
Suspension: Dynamics

spring stiffness along the Z-axis, an axial force impressed in the z direction at the

centroid of the proof mass is assumed.

The spring compliance for deflections in the z direction is given by

1 l3 ls 2 (3.9)
= +
k z 24 EI z 8GJ

The two terms in the expression for out-of-plane spring stiffness are due to deflection of

the beam (first term) under an out-of-plane force and twisting of the elbow (second

term) due to the torque generated from opposing forces at the end of the beams. Iz is the

area moment of inertia about Z-axis and is given by 1 wt 3 . G is the modulus of


12

rigidity and J is the torsional constant for the spring beam about Z-axis given by 1 w3t .
3

The rejection ratio for the z mode is given by

−1 / 2 (3.10)
ω z ⎛ EI x s 2 I x ⎞
= ⎜3 + ⎟
ω x ⎜⎝ GJl 2 I z ⎟⎠

The mode shape is as shown in Figure 3.5.

Figure 3.5 ωz, Mode shape of a single spring set suspension resonating along Z-axis.

66
Suspension: Dynamics

3.2.3 Rotational compliance

The rotational mode about the X-axis, ωα is the angular deflection of the proof-mass

about X-axis. The angular deflection consists of contribution from twisting occurring

about X-axis of the linkages and the combination of twisting and bending of the spring

beams. The compliance about the X-axis, 1/kα is thus a sum of the compliance due to

torsion of linkages (1/kα,link) and the compliance contribution of the beams (1/kα,beam).

1 1 1 (3.11)
= +
kα kα ,link kα ,beam

The spring stiffness about X-axis due to torsion of the linkages is given by

2GJ s (3.12)
kα ,link =
s−w

where Js is the torsion constant of the linkage given by 1 ws3t . The linkage torsional
3

stiffness can be made very high by increasing the width (ws) of linkage. In that case, the

torsional stiffness of the beam will be dominant in determining the resonant mode about

the X-axis. The compliance due to the bending and twisting of the beam is given by

⎛ ⎞ (3.13)
⎜ ⎟
1 l ⎜ 3 ⎟
= 1−
kα ,beam 4 EI z ⎜ EI z s 2 ⎟
⎜ 4+3 ⎟
⎝ GJl 2 ⎠

The linkage width should therefore be chosen to restrict the contribution of the torsion

of the linkage to the total compliance. The condition kα ,link >> max (kα , beam ) for a

comparatively stiff linkage corresponds, for s >> w, to

⎡ E ⎛ t ⎞ 2 s⎤
13 (3.14)
w s>> ⎢2 ⎜ ⎟ ⎥ w
⎣ G ⎝ w ⎠ l⎦

67
Suspension: Dynamics

As the beam aspect ratio and suspension aspect ratio will often be comparable, and

certainly no more than fifty each, condition 3.14 will be met for a linkage of width, ws,

greater than about ten times the beam width, w.

The rejection ratio for the resonant mode about the X-axis is given by

−1 / 2 (3.15)
⎧ ⎡ ⎤⎫
ωα ⎪⎪ 4 EI x ( s − w) g 2 4 I x g 2 ⎢ 3 ⎥ ⎪⎪
=⎨ + ⎢1 − ⎥⎬
ωx ⎪ GJ s l 3 I zl 2 2
⎢ 4 + 3s EI z ⎥ ⎪
⎩⎪ ⎣⎢ l 2GJ ⎦⎥ ⎭⎪

The mode shape is as shown in Figure 3.6.

Figure 3.6 ωα, Mode shape of a single spring set suspension resonating about X-axis.

The rotational spring constants about the y and z axis, kβ and kγ , is composed of

contributions from the effective translational springs and additional angular deflection

from the torques arising out of effective torsional springs.

68
Suspension: Dynamics

The rotational stiffness about Y-axis is given by

kβ = kzh2 (3.16)

The rejection ratio of the frequency of the rotational mode about the Y-axis to the

translational mode about Z-axis is given by

ωβ (3.17)
= 3
ωz

The mode shape is shown in Figure 3.7.

Figure 3.7 ωβ, Mode shape of a single spring set suspension resonating about Y-axis.

Similarly for rotation about the Z-axis, again neglecting torsional effects, the rejection

ratio of the frequency of rotational mode about Z-axis to the translational mode along Y-

axis is given by

ωγ 3 (3.18)
=
ωy 1+ g 2 /h 2

where g, h are the half width and half length of the proof-mass respectively. Therefore

maximizing the off-axis translational-mode frequencies will automatically maximize the

off-axis rotational-mode frequencies, simplifying the design approach. The mode shape

for ωγ is shown in Figure 3.8.

69
Suspension: Dynamics

Figure 3.8 ωγ, Mode shape of a single spring set suspension resonating about the Z-axis.

The additional torsional contribution will cause the rotational-mode frequencies to be

higher, which means the expressions given by 3.17 and 3.18 underestimate the rejection

ratio and so the design rules developed based on these expressions satisfy the key

criteria of increasing the rejection ratio.

3.2.4 Rejection ratios of spurious modes

By making some reasonable approximations, it is possible to achieve some very simple

expressions for the rejection ratios of all the spurious modes. First, the beam cross

sections are assumed to be rectangular. Second, the ratio of Young’s modulus to the

shear modulus, E/G is assumed to be 3, which corresponds to an incompressible solid

(for silicon {100} E/G is 2.56 [3.15]). Third, it is assumed that the linkages and elbows

are completely rigid (1/kα, link = 0) and of zero width. In this case the spring mass will be

8ρltw. Fifth, the suspension is assumed to extend the width of the proof mass so that 2l

= g. Last, the proof mass is assumed to be square. Under these conditions, the rejection

ratios are shown in final column of Table 3.1. Further, if it is assumed that the

70
Suspension: Dynamics

suspension and beam aspect ratios are equal, s/l = w/t = R, the simple multiples shown

in the final column are obtained.

Table 3.1 Rejection ratios of spurious modes for a lateral suspension.

Mode, ω i2 ω x2 ω x2
for rectangular , with
i ω i2 ω i2
beam cross sections,
s/l = w/t =
E/G = 3, and rigid, zero
width links and elbows, R
and square proof mass
x 24 EI x 1 1
ml 3

x1 m 2 2 w 2 t
π2 ωx R
ms π2 h π2 h

y 8EIx l2 2 s2 3R2
= ωx 3 2
mls 2 3s 2 l

z 1 ωx2 s2 w 2 2R2
= +
mls 2 ml 3 3EI x s 2 I x l2 t 2
+ +
8GJ 24 EI z GJl 2 Iz

α ⎧ ⎡ ⎤⎫
−1
⎛ ⎞ ~ R2
⎪⎪ 4 EI ( s − w) g 2 4 I g 2 ⎢ ⎥ ⎪⎪ 2 2⎜ ⎟
3 w 3
+ x2 ⎢1 − ⎥ ⎬ ωx 4 2 ⎜1− ⎟
x
⎨ 2
⎪ GJ s l 3 I zl ⎢ 4 + 3s EI z ⎥ ⎪ t ⎜ 1 s2 t 2 ⎟
⎪⎩ ⎢⎣ l 2GJ ⎥⎦ ⎪⎭ 4+
⎝ 4 l2 w 2 ⎠

β 3ω z2 1 ⎛ s2 w 2 ⎞ 2 2
R
⎜ + ⎟ 3
3 ⎝ l2 t 2 ⎠

γ 3 s2 2R2
2 2
ω y2 2
1+ g /h l2

Table 3.1 presents the expressions for calculating the modes of a single spring set

suspension. The rejection ratios are shown to be proportional to the R a parameter

dependent on the suspension and beam aspect ratios. In the simplified case, most modes

are linearly dependent on the fundamental. The first on-axis spurious mode ωx1 as a

square root multiple of R.

71
Suspension: Dynamics

3.3 Multiple Spring Suspension

As we discussed in chapter 2, it is often desirable to reduce the fundamental frequency

of a lateral suspension as far as possible to maximize the sensitivity in certain

applications like an accelerometer for low frequency vibration sensing. For a given

device area this can be achieved by either reducing the width of the beams or adding

additional suspension units on either side of the proof mass. There is a limit to how thin

the beams can be fabricated due to inherent process limitations and so the addition of

suspension units may be required.

Figure 3.9 Schematic of a lateral suspension with multiple springs, (a) a lateral suspension with n

set of spring, and (b) a specific lateral suspension with two (n=2) spring sets.

A series of n folded cantilevers each of spring constant k will have a summed spring

constant of k/n. As well as softening the suspension, the use of multiple units improves

the linearity as the deflection of each cantilever is a lower multiple of the beam

72
Suspension: Dynamics

thickness. For a suspension with n pair of springs as shown in Figure 3.9, the spring

constant along x axis is given by

24 EI x (3.19)
kx =
nl 3

The frequency for the fundamental mode for a suspension with n spring sets is given by

(3.20)
kx 24 EI x
ωx = =
nm nml 3

For a set of parameters given in Table 3.2 for lateral suspension and applying equation

3.20 we calculate the resonant frequencies for suspensions with 1 to 6 spring sets. A FE

(Finite Element) model using Ansys (Figure 3.10) is used to verify the analytical

expressions.

The numerical simulation was performed using commercially available FE simulation

program ANSYS [3.16]. A parametric FE model was developed using the input file

capability of ANSYS for a generic spring-mass lateral suspension. This allowed a single

model file to be modified parametrically to set the number of spring sets, spring and

proof-mass dimensions. The suspension was initially designed as a 2-D model and

meshed with quadrilateral PLANE42 elements. The 2-D model was then extruded

vertically 525 μm to form a volume with SOLID45 elements with three degrees of

freedom at each of the eight nodes. The model contains large volumes like the proof-

mass and high aspect ratio structures such as spring beams. Automated meshing of the

complete structure uses irregular shaped tetrahedral elements causing multiple

problems:

73
Suspension: Dynamics

1. Large number of elements require larger memory and is slower to compute,

additionally the version of ANSYS used has maximum element limit,

2. Automated meshing of the structure leads to very high aspect ratio elements with

narrow widths in the spring beams. At the linkages there is extreme size transition from

the larger elements in proof-mass to the smaller elements in spring beams. This leads to

large simulation errors.

To avoid problems associated with irregular tetrahedral elements, the 2-D model was

initially map meshed with PLANE42 elements with lower density of elements filling

the proof-mass (Figure 3.10) and larger density of elements in spring beams with low

aspect ratio elements at the transition boundary. The extruded 3-D model then contains

brick elements as shown in Figure 3.11.

Figure 3.10 FEA (Finite Element Analysis) model of a lateral suspension with two set of springs

solved using Ansys. The inset shows the arrangement of the nodes and elements in the model.

The mode shape and frequencies are calculated using Block Lanczos method. FE

simulation allows inclusion of effects of a number of factors that are neglected in the

simplified analytical model like mass of the spring, and anisotropic property of single

crystal silicon. The input files for the ANSYS simulation are presented in Appendix B.

74
Suspension: Dynamics

Figure 3.11 The transition elements are designed such that the nodes at the common boundary are

coincident and there is no extreme size change across structures.

Table 3.2 Dimensional parameters for suspension design with multiple set of springs.

Parameter Value

Young’s modulus, E (GPa) 129

Silicon density, ρ (kg/m3) 2330

Wafer thickness, t (μm) 528

Proof mass length, 2g (mm) 17.92

Proof mass width, 2h(mm) 12.06

Spring length, l (μm) 8320

75
Suspension: Dynamics

Figure 3.12 shows the fundamental mode calculated using the analytical expressions

along with the FEA calculated values. The FEA model estimates for the resonant modes

are lower than analytically calculated values as the FEA considers the effect of the

spring mass which reduces the fundamental mode slightly. The FEA model also

considers the effect of the end connectors (elbow) of the spring which is neglected for

calculating the fundamental mode in the analytical model.

FEA Model Analytical Model


20
18
16
14
12
Mode, ωx

10
8
6
4
2
0
1 2 3 4 5 6
Number of Springs (n)

Figure 3.12 Fundamental resonant frequency for lateral suspension with varying number of spring

sets. The values are calculated using the analytical expression from equation 3.20 and compared

against FEA derived values. The deviation of the analytical model from the FEA model can be

attributed to the mass of the suspension and the effect of end-connector geometry.

The discrepancy between the analytical and FEA model is under 5% and can be reduced

within 1-2% if the springs are considered massless and the end connectors are made

rigid.

76
Suspension: Dynamics

3.3.1 Effect of spring mass

Up to this point the springs have been considered massless. The mass of the spring

beams will both reduce the frequency of the fundamental mode and produce additional

modes in all degrees of freedom. The frequency will be reduced according to Rayleigh’s

method [3.17] to calculate the effective mass of the spring, leading to an updated

fundamental mode given by

(3.21)
kx
ω*x =
33
m+ ms
140

where ms is the total mass of the suspension. For most suspension designs, m >> ms, and

the mass-loading effect is very small. Corresponding reductions will be seen for the

other modes. If the suspension mass is comparable to the suspended mass, m in equation

33
3.20 should be replaced by m + ms . For a suspension with a single set of springs,
140

incorporating the mass of the spring causes a deviation in the fundamental frequency,

ωx of 0.5%.

The most important additional modes are in the x direction, as they will be excited by

the same signals as the fundamental. In general, an analytical solution is not obtainable.

One can split the suspension into a series of discrete masses separated by massless

springs. To determine the normal modes we have to solve the homogeneous linear

equations of the appropriate Lagrangian:

B − ω 2A = 0 (3.22)

where B is the potential energy matrix and A is the kinetic energy matrix [3.18]. Figure

3.13 show a suspension model where the spring beams are considered as discrete

77
Suspension: Dynamics

masses connected with massless springs of stiffness k. The point masses (m) are an

approximation to the distributed masses of the spring sets of the actual suspension.

For the suspension the matrices are symmetric:

⎛m 0 0 0 0 0⎞
0 ⎛ 2 −1 0 0 0 0 0⎞ (3.23)
⎜ ⎟ ⎜ ⎟
⎜0 m 0 0 0 0
0⎟ ⎜ −1 2 −1 0 0 0 0⎟
⎜0 0 m 0 0 0⎟
0 ⎜ 0 −1 2 −1 0 0 0⎟
1⎜ ⎟ k ⎜ ⎟
A= ⎜0 0 0 M 0 0 ⎟,B = ⎜ 0
0 0 −1 2 −1 0 0 ⎟
2⎜ 2⎜
0 0 0 0 m 0⎟
0 0 0 0 −1 2 −1 0 ⎟
⎜ ⎟ ⎜ ⎟
⎜0 0 0 0 0 m 0⎟ ⎜0 0 0 0 − 1 2 − 1⎟
⎜0 0 0 0 0 0 m ⎟⎠ ⎜0 0 0 0 0 − 1 2 ⎟⎠
⎝ ⎝

and we solve the equation 3.22 to determine the eigenvalues. Using Mathematica

(version 4, Wolfram Inc.) to solve this equation we obtain, as m/M → 0,

k
( k
) ( k 2k 2k
) k
(k
) ( )
(3.24)
ω i2 = { , 2− 2 , 2− 2 , , , 2+ 2 , 2+ 2 }
2M m m m m m m

implying

ω1 (3.25)

ω0
= (4 − 2 2 )Mm ≈ M
m

where ω1 is the first on-axis harmonic ωx1 and ω0 is the fundamental mode ωx.

Unless the number of elements is low, this analysis gives little physical insight.

Figure 3.13 To model the first on-axis harmonic ωx1, the spring units of the lateral suspension can

be considered as discrete masses separated by massless springs. M is the mass of the proof-mass, the

spring are considered as discrete masses m with massless springs of stiffness k connecting them.

78
Suspension: Dynamics

Alternatively the suspension can be considered as having both a continuously

distributed mass and spring constant. This approach leads to simpler results, more

amenable to design optimization. Treating the spring as a uniformly distributed mass is

self-consistent if the resulting modes have periodicities which are much greater than the

periodicities in the distribution of the mass of the spring along the compliant direction.

For the suspension geometry under consideration this implies that the mode periods

should be much greater than s, the spring spacing.

Consideration of the mass of the suspension introduces additional on-axis modes with

the displacement in the x direction of the suspensions on either side either symmetric or

antisymmetric about the suspended mass [3.19]. The symmetric mode has a node at the

suspended mass and is therefore relatively benign to the overall dynamic performance.

However, the antisymmetric mode drives an oscillation of the suspended mass, the

amplitude of which is proportional to ms/m. The derivation for the on-axis symmetric

and anti-symmetric modes are covered in Pike et al. [3.19]. The rejection ratio of the

frequencies of the first harmonic ωx1 to the fundamental is

(3.26)
ω x1 m
≈π
ωx ms

Figure 3.14 shows the drop in rejection ratio for the first spurious mode along X-axis

(ωx1) with increasing number of spring sets (n). The analytical model gets better at

predicting the mode with increasing number of spring sets, approaching the numerically

calculated values. The improvement is due to the basic assumption of a continuously

distributed mass to model the springs and with higher number of spring sets the

assumption is strengthened.

79
Suspension: Dynamics

FEA Model Analytical Model


40

35

30
Mode ratio, ωx1/ωx

25

20

15

10

0
1 2 3 4 5 6
Number of Springs (n)

Figure 3.14 Plot of the first spurious mode along X-axis (ωx1) with increasing number of spring sets

(n). With larger number of spring sets the analytical model approaches the FEA model.

The lowest-frequency antisymmetric mode is of particular concern as motion of the

suspended mass will occur. Both the amplitude and frequency of this mode depend on

the ratio m/ms, linearly and to the square root respectively, and hence it is important to

minimize the mass of the springs as far as possible.

3.3.2 Rejection ratios of spurious modes

Figure 3.15 shows the rejections ratios calculated from FEA models for lateral

suspensions with multiple spring sets. The ordering of the rejection ratios for one

suspension unit agrees well with the rules of thumb established in the last column of

Table 3.1. As the number of units increase, the FEA rejection ratio drops as

approximately 1/n for y, z, and β; as 1/n0.6 for γ; as 1/√(n) for x1; and is independent of n

for α.

80
Suspension: Dynamics

The reduction in the rejection ratios of the y, z, and β modes can be explained by

considering that the translational compliance of an elastic member increases with the

cube of the length of the member, so that while from equation 3.20 the fundamental

frequency drops inversely as the square root of n, the cross-axis frequencies fall as 1/n3/2

and so the rejection ratio will scale as 1/n. The γ mode depends more on the twisting

compliance of a member, which increases with the square of the length, and hence the

rejection ratio might be expected to be inversely proportional to the square root of n,

reasonably close to the 1/n0.6 behaviour seen. For the x1 mode, the mass of the

suspension is proportional to n, and so the rejection from equation 3.22 will fall as the

inverse square root. Like the fundamental, the α mode frequency is inversely

proportional to n and so there is no change in the rejection ratio with additional

suspension units.

ωy/ωx ωz/ωx ωα/ωx ωβ/ωx ωγ/ωx ωx1/ωx


40

35

30
Mode ratio, ωi /ωx

25

20

15

10

0
1 2 3 4 5 6
Number of Springs (n)

Figure 3.15 Rejection ratio of spurious modes calculated using FEA model for lateral suspension

with 1, 2, 3, 4, 5 and 6 sets of springs. The rejection ratio drops significantly for multiple springs

except for the rotational mode about X-axis.

81
Suspension: Dynamics

Even though multiple spring suspensions achieve lower fundamental modes (Figure

3.12), the dramatic drop in rejection ratio is of serious concern and will affect the

sensitivity of a suspension based on multiple spring design. As we will see in the next

section there is a significant vertical deflection of the proof-mass when using multiple

springs. In section 3.4 we will introduce intermediate frames to stabilize the rejection

ratio.

3.3.3 Vertical deflection under gravity

For a horizontal lateral suspension, the vertical deflection (sag) of the proof mass under

gravity is given by

mg * g * (3.27)
zsag = = 2
kz ωz

where mg* is the weight of proof mass, g* is the acceleration due to gravity and kz is the

spring constant along Z-axis.

For a Galperin configuration (section 2.3.1), the vertical and lateral component of g*

causes the proof mass to deflect both in-plane as well as out-of-plane and is given by

mg * cos(θ galperin ) g * cos(θ galperin ) (3.28)


zsag ,in − plane = =
kx ωx2

mg * sin(θ galperin ) g * sin(θ galperin ) (3.29)


zsag ,out − of − plane = =
kz ωz2

We use equations 3.27-29 to calculate the vertical sag for lateral suspensions with

multiple springs using parameters from Table 3.2.

82
Suspension: Dynamics

Horizontal, vertical sag Galperin, in-plane Galperin, out-of-plane


10000

Vertical sag, z sag (μm)


1000

100

10

1
1 2 3 4 5 6
Number of Springs (n)

Figure 3.16 Logarithmic plot of vertical sag of a lateral suspension in horizontal and Galperin

configuration. The sag due to gravity increases with higher number of springs.

As is evident from Figure 3.16 suspensions with higher spring sets have larger

deflection under gravity. For the horizontal configuration of lateral suspension the

springs are not preformed and the deflection due to gravity of the proof-mass increases

linearly with the number of spring, n. For the Galperin configuration, the spring is

preformed to compensate for the in-plane deflection of the proof-mass such that when

the lateral suspension is placed in the Galperin configuration the proof-mass is centred.

The vertical deflection of the proof-mass under gravity is slightly better than in the

horizontal configuration but will need to be reduced within reasonable limits to allow

workable capacitive pickup between the proof-mass die and the capping die.

The vertical sag under gravity can be reduced by introducing frames between sets of

springs, a discussion of which follows in the next section.

83
Suspension: Dynamics

3.4 Intermediate Frames for Multiple Spring

Suspension

As we discussed in section 3.3 there is a considerable price to be paid for reducing the

fundamental frequency by increasing the number of spring sets – the rejection ratio

drops precipitously for most of the off-axis modes and the vertical deflection is

significant.

In order to overcome this considerable shortcoming (drop in the rejection ratios), it is

necessary to decouple the additional spring sets, so the off-axis compliances add

linearly rather than scale as the cube or square of the units added. Intermediate frames

[3.20] can be introduced into the suspension to increase the cross-axis rigidity. Figure

3.17 shows a schematic of a suspension with a single intermediate frame. These frames

are symmetric about the suspended mass and couple together the two sets of springs on

either side of the proof mass. As they join parts of the suspension which move

synchronously in the fundamental mode, they have very little effect on the fundamental

frequency. However, by imposing additional boundary conditions on the cross-axis

modes the frames can greatly increase the frequencies of these modes. The effect of the

frames is first analysed assuming they are massless and perfectly rigid.

Pike et al [3.5] presents a detailed analysis of the advantages of using an intermediate

frame for lateral suspension. By using intermediate frames, the suspension is stiffened

in the z direction with a linear dependence on number of intermediate frames (n). Since

the fundamental mode is also linearly dependent on n, the rejection ratio for out-of-

plane mode ωz is unaffected by the introduction of additional spring units. The

beneficial effect on the Y-axis rejection ratio is identical.

84
Suspension: Dynamics

Figure 3.17 Schematic of a lateral suspension with a single intermediate frame between two set of

springs. The intermediate frame decouples the additional spring sets.

The cross-dependence of the rotational rigidities to the translational rigidities produces a

corresponding increase in rotational rigidity with the introduction of frames for rotations

about the Y and Z axes. For rotation about the Y and Z axes, there is further stiffening as

the elastic forces are applied further away from the rotation axis as the number of

suspension units increases. This leads to a better rejection ratio for β mode as n

increases. There is similar stiffening about the Z-axis due to the increased moment arm

for in-plane rotations as spring units are added improving rejection ratio for γ mode.

The frames have no effect on rotation about the X-axis as they introduce no additional

constraints for this motion. The analytical expressions for all the modes for n spring sets

and (n-1) frames are given in Table 3.3.

85
Suspension: Dynamics

Table 3.3 Resonant frequencies for lateral suspension with n spring sets and (n-1) intermediate

frames.

Mode, i ωi2

x 24 EI x
mnl 3

y 8EI x l2 2
= ωx
mnls 2 3s 2

z 1/ n ω x2
=
mls 2 ml 3 3EI x s 2 2 I x
+ +
8GJ 12 EI z GJl 2 Iz

α ⎧ ⎡ ⎤⎫
−1

⎪⎪ 4 EI ( s − w) g 2 4 I g 2 ⎢ 3 ⎥ ⎪⎪ 2
+ x2 ⎢1 − ⎥ ⎬ ωx
x
⎨ 3 2
⎪ GJ s l I zl ⎢ 4 + 3s EI z ⎥ ⎪
⎪⎩ ⎣⎢ l 2GJ ⎦⎥ ⎪⎭

β ⎡ ( s + f / 2) ⎤ 2
3⎢1 + (n − 1)
⎣ h ⎥⎦ωx

γ ⎡ ( s + f / 2) ⎤
3⎢1 + ( n − 1) ⎥⎦ 2
⎣ h
ωy
1 + g 2 / h2

x1 m + nmspring
3 ωx2
m + n( mspring + mframe )

The frames will have a detrimental effect on harmonics along the X-axis due to the

increased mass of the suspension. The first harmonic will have a frequency of

(3.30)
ω x1 m

ωx ms + m f

86
Suspension: Dynamics

for a total frame mass of mf. Therefore the frames should be made as light as possible

while maintaining sufficient rigidity. Figure 3.18 shows the drop in rejection ratio for

on-axis spurious mode ωx1/ωx for suspension with intermediate frames as compared to

the suspensions without intermediate frames.

with Frame suspension Frameless suspension


40

35
Mode Ratio, ωx1/ωx

30

25

20

15

10

5
1 2 3 4 5 6
Number of Springs (n)

Figure 3.18 Plot of ωx1/ωx for lateral suspension with n (one to six) spring sets and (n-1)

intermediate frames. The FEA model used to calculate the rejection ratio is based on the model

parameters of Table 3.2 with a frame thickness (f) of 60 μm.

3.4.1 Rejection ratio of spurious modes

The rejection ratio for lateral suspensions with multiple spring sets (with dimensions

similar to the model used in section 3.3.2) but with intermediate frames in shown in

Figure 3.19. By including intermediate frames into the design, all modes except for x1

maintain or, in the case of β or γ, improve their rejection ratio as additional suspension

units are added, in agreement with the analytical formulas of Table 3.3.

87
Suspension: Dynamics

ωy/ωx ωz/ωx ωα/ωx ωβ/ωx ωγ/ωx

20
Mode ratio, ωi/ωx

15

10

5
1 2 3 4 5 6
Number of Springs (n)

Figure 3.19 Plot of rejection ratio for lateral suspension with multiple springs calculated using

analytical expressions from Table 3.3.

FEA model based on the same parameters as in Table 3.2 is solved using Ansys to

verify the analytical calculations. Figure 3.20 shows similar trend as the analytically

calculated values (Figure 3.19) with improvement in the mode rejection ratio for β and γ

modes and smaller improvement in y and z modes.

88
Suspension: Dynamics

ωy/ωx ωz/ωx ωα/ωx ωβ/ωx ωγ/ωx

20
Mode ratio, ωi /ωx

15

10

5
1 2 3 4 5 6
Number of Springs (n)

Figure 3.20 Plot of rejection ratio for lateral suspension with multiple springs calculated using a

FEA model.

The relative error of the analytical model as compared to the FEA model is shown in

Figure 3.21. The error in calculating the mode rejection ratio for most of the modes are

within 10% except for β mode where the error, though high initially, reduces

significantly with higher number of frames. The error is calculating γ mode seems to be

increasing but plateaus at around 15%. Even though the analytical model for calculating

β and γ modes are significantly in error with the FEA model, the analytical model still

predicts most of the other modes with significant accuracy and accurately estimates the

first few spurious modes. Additionally since the analytical model underestimates the

out-of-plane rejection ratio (ωz/ωx) which is the first spurious mode for the suspension,

any design developed using this model will satisfy the criteria to increase the rejection

ratio.

89
Suspension: Dynamics

ωy/ωx ωz/ωx ωα/ωx ωβ/ωx ωγ/ωx


15

10

5
Error %

-5

-10

-15

-20
1 2 3 4 5 6
Number of Springs (n)

Figure 3.21 Error between the FEA model and analytical expressions for the rejection ratios.

In Figure 3.18 we discussed how the rejection ratio for the first on-axis spurious mode

gets worse due to the weight of the intermediate frame, but increased rigidity provided

by the frame could be useful in pushing up the rejection ratio for other modes. Figure

3.22 shows the rejection ratio (normalized against a suspension design with 3 spring set

and 2 20 μm thick intermediate frames) for suspensions with multiple spring sets and

intermediate frames. Except for the first on-axis spurious mode (ωx1), the rejection ratio

for most other modes are significantly increased by increasing the thickness and hence

the rigidity of the intermediate frames.

To reduce the weight of the intermediate frame and increase the rigidity, it is possible to

design intermediate frames with slots in them as shown in Figure 3.23. Even though

mechanically it is stronger to design them like a truss structure as used in bridges,

90
Suspension: Dynamics

fabrication constraints due to minimum etch feature size of 40 μm leaves little room to

have a cross-bar geometry, instead holes are etched in a solid frame.

ωx1/ωx ωy/ωx ωz/ωx ωα/ωx ωβ/ωx ωγ/ωx

1.90

1.70
Mode ratio (normalized)

1.50

1.30

1.10

0.90

0.70

0.50
20 40 60 80 100 120 140 160 180 200
Frame thickness (μm)

Figure 3.22 Plot of rejection ratio for lateral suspension with three spring sets and two intermediate

frames of varying thickness. Most of the rejection ratio except ωx1/ωx improves with thicker frames

though the gain is marginal beyond a certain thickness.

Figure 3.23 (a) Reinforced intermediate frames to reduce the weight of the frame while maintain

higher rigidity of a thicker frame, as compared to (b) Solid intermediate frame which reduces the

on-axis rejection ratio due to the higher weight of the spring-frame unit.

91
Suspension: Dynamics

3.4.2 Comparison of modes for frameless and with-frame

suspension

Figure 3.24 compares the rejection ratio calculated using FEA for multiple spring

suspension with and without intermediate frames. As we can see in Figure 3.24a the

rejection ratio for all modes drop precipitously with increasing number of spring units

whereas the same suspension with intermediate frames between each spring unit leads

to an improvement in the rejection ratio for all modes (Figure 3.24b) except the first on-

axis harmonic, ωx1.

Figure 3.24 Comparison of the rejection ratio for a model lateral suspension with multiple springs

without frames and with frames. (a) Log-log variation as calculated by FEA of the rejection ratio

for the spurious modes as the number of suspension units is increased from 1 to 6. Three fits for the

rejection ratio are shown: for x1, 1/√(n); for α, independent of n; for z, 1/n, and (b) Log-log

variation as calculated by FEA of the rejection ratio for the spurious modes of a suspension

incorporating intermediate frames as the number of suspension units is increased from 1 to 6. Fits

for the rejection ratio are shown: for x1, 1/√(n); for α, independent of n.

92
Suspension: Dynamics

The trend line showing the slope of the rejection ratio for the multiple spring

suspensions agree with the analytical model. For frameless suspensions the rejection

ratio varies between 1/n and 1/√n whereas for the suspension with intermediate frames

the rejection ratios are almost flat or improve slightly and x1 mode has a slope of 1/√n.

α mode in both cases stay constant as shown by the flat line in the plots.

3.4.3 Vertical sag under gravity

As we discussed earlier, intermediate frames are used between sets of springs to

increase out-of-plane stiffness. The frames have the effect of changing the boundary

condition at the linkage of springs as shown in Figure 3.25.

Figure 3.25 Effect of intermediate frames on the vertical sag of proof mass under gravity, (a)

multiple spring set suspension under gravity with equal deflection of all spring beams as shown in

the cross-section view, as compared to (b) multiple spring set suspension with intermediate frame

showing a reduction in vertical sag under gravity due to the intermediate frame.

93
Suspension: Dynamics

The frames increase the torsional stiffness of the suspension along the Z-axis. The ratio

is given by [3.5]

k z , frame 3 (3.31)
=
k z , frameless 4n 2 − 1

kz,frames is the torsional stiffness with n – 1 frames and kz,frameless is torsional stiffness for a

frameless suspension with n spring sets.

The vertical sag (zsag) under gravity is inversely proportional to the out-of-plane

stiffness, kz. Figure 3.26 shows the reduction in vertical sag with increasing number of

spring units when intermediate frames are used compared to the frameless case. As we

can see from the curve the reduction in vertical sag is significant particularly with

higher number of springs: for six sets of springs the vertical sag with intermediate

frames is almost forty five times less than frameless suspension.

60

50
zsag,frame /zsag,frameless

40
Vertical sag ratio

30

20

10

0
1 2 3 4 5 6
Number of Springs (n)

Figure 3.26 Reduction ratio for vertical sag under gravity for multiple spring suspension with

intermediate frames as compared to frameless suspensions.

94
Suspension: Dynamics

3.5 Effect of Linkage Geometry

The linkage affects the twisting about X-axis. A rigid link is therefore important to

increase the rejection ratio of the mode about X-axis.

Various link thicknesses are investigated and its effects are quantified on α and x1 mode

as shown in Figure 3.27.

ωα/ωx ωx1/ωx

14

12

10
Mode Ratio

0
20 70 120 170 220 270
Link width (μm)

Figure 3.27 Effect of link width on rejection ratio of the suspension.

With increasing linkage width, ωx1/ωx decreases slightly due to increased mass of the

linkage but the effect is small enough to not merit a design modification. Rejection ratio

of the rotational mode about the X-axis (ωα) improves significantly with increasing

linkage width as the rigidity of the linkage reduces the compliance to torsion. After a

certain linkage width the gain in α rejection ratio does not improve any further as the

compliance contribution of the spring beams dominates.

95
Suspension: Dynamics

Steady state numerical simulation of the suspension shows maximum stress

concentration at the linkages with sharp corner. The linkage is filleted to reduce the

stress concentration at the corners (Figure 3.29) for similar applied force. Various

designs were investigated with the aim to reduce the stress concentration. Stress

concentrators are potential regions where fracture happens and shock test of structures

(chapter 6) have shown that most of the suspensions fail near the linkage.

Figure 3.28 Maximum stress at the sharp corner of the linkage shown by the red pointer in the

globe.

Figure 3.29 (a) Link design with sharp corners, (b) Link design with corner filleting.

Numerical simulation using Ansys was carried out to investigate the effect of filleting

and the results are presented in Table 3.4.

96
Suspension: Dynamics

Since filleting the link increases the effective thickness of the spring, there is an

increase in ωx which can be compensated for by increasing the spring beam length. The

stress at the linkage is reduced with larger filleting at the corners as seen by the trend in

Table 3.4. The Von Mises stress is calculated by applying a fixed displacement of 332

μm along X-axis, and 15 μm along Z-axis to simulate maximum deflection of the spring

linkage.

Table 3.4 Effect of varying amount of filleting of link-spring corner on stress.

Link design

Radius of curvature

Sharp corner Circular Elliptical Elliptical


110 μm 230 μm, 110 μm 500 μm, 170 μm

Von Mises Stress (MPa)

117.4 113.2 105.6 102.1

3.6 Effect of End Connector (Elbow) Stiffness

Figure 3.30 Schematic of spring element showing the end connector.

97
Suspension: Dynamics

The analytical expressions in Table 3.1 and Table 3.3 are derived assuming rigid spring

end connectors (Figure 3.30). However, the rigidity of the end connector (elbow) plays

a crucial role in determining the out-of-plane compliance.

Figure 3.31 Distortion of the spring beam under an out-of-plane force. The forces acting at the

opposite ends of the elbow causes a torque which leads to an angular rotation of θ.

The total deflection in the z direction is the sum of the deflection at the elbow, Δelbow, as

a result of the twisting of the beams from the torque generated from the opposing forces

acting at the beam ends and the two cantilever deflections, Δbeam of the beams as a result

of the impressed out-of-plane force and the resulting torques as shown in Figure 3.31.

This torque will produce a constant twist along each beam [3.13], and produce a

resulting deflection at the elbows given by

Fz ls 2 (3.32)
Δ elbow =
8GJ

for small loads where G is the relevant shear modulus for the beam direction, and J is

the torsion constant which depends only on the cross-sectional dimensions of the beam,

98
Suspension: Dynamics

Fz is the out-of-plane force acting on each beam, l is the length of the beam and s is the

spacing between the two beams of a spring unit.

Figure 3.32 Deflection of the spring beams depending on elbow rigidity, (a) completely rigid elbow

with c = 1 where the bending is that for a fixed-free cantilever and (b) completely flexible elbow

with c = 4 where the bending is representative of a fixed-guided cantilever.

The beam deflection will be given by Fzl3/(48EIz) where the elbow is considered as

completely rigid as shown in Figure 3.32a. The rigidity of the elbow about this axis is

much more difficult to maintain compared to the rigidity about the z axis for deflections

in the compliant x direction. If the elbow has no rigidity, each beam acts as a single

cantilever with a maximum angle of deflection at the elbow (Figure 3.32b) and the

beam deflection is Fzl3/(12EIz). Hence an elbow-compliance factor, c, which depends on

the rigidity of the elbow and varies between a value of 1 and 4, is introduced into the

analysis:

cFl 3 (3.33)
Δ beam =
48EIz

This leads to a modified compliance about Z-axis dependent on c and given by

1 cl 3 ls 2 (3.34)
= +
k z 24 EI z 8GJ

Table 3.6 presents this compliance factor for some representative geometries as

determined by finite element analysis. Where present, the elbow cross bar is half the

99
Suspension: Dynamics

beam spacing s from the end on the elbow. It is evident that to minimize the Z-axis

deflection some webbing of the elbow is required. Table 3.5 incorporates the elbow

compliance factor (c) into the analytical expressions for normal modes of the

suspension.

Table 3.5 Resonant frequencies for lateral suspension with n spring sets and (n-1) intermediate

frames incorporating the effect of end connector (elbow) geometry.

Mode, i ωi2

x 24 EI x
mnl 3

y 8EI x l2 2
= ωx
mnls 2 3s 2

z 1/ n ω x2
=
mls 2 cml 3 3EI x s 2 cI x
+ +
8GJ 12 EI z GJl 2 Iz

α ⎧ ⎡ ⎤⎫
−1

⎪⎪ 4 EI ( s − w) g 2 4 I g 2 ⎢ 3 ⎥ ⎪⎪ 2
+ x2 ⎢1 − ⎥ ⎬ ωx
x
⎨ 3 2
⎪ GJ s l I zl ⎢ 4 + 3s EI z ⎥ ⎪
⎪⎩ ⎣⎢ l 2GJ ⎦⎥ ⎪⎭

β ⎡ ( s + f / 2) ⎤ 2
3⎢1 + (n − 1)
⎣ h ⎥⎦ωx

γ ⎡ ( s + f / 2) ⎤
3⎢1 + ( n − 1) ⎥⎦ 2
⎣ h
ωy
1+ g /h
2 2

x1 m + nmspring
3 ωx2
m + n( mspring + mframe )

Numerically we have investigated various end connector topography to understand its

effect on the modes. It is evident from Figure 3.33 that rejection ratio for out-of-plane

100
Suspension: Dynamics

mode ωz improves with increasing elbow stiffness, at elbow stiffness of c = 1.0

(completely rigid) the rejection ratio is 25% better than at c = 4 (completely flexible).

Increasing the stiffness of the spring end connector also reduces the vertical sag under

gravity of the proof mass with 20% improvement from c = 4 to c = 1. There will be a

slight drop in rejection ratio for the on-axis spurious mode ωx1 due to the increased mass

of the spring.

Table 3.6 Value of c (elbow compliance) for various elbow topography.

End connector
geometry
(Aspect ratio
~20)

Design (a) (b) (c) (d) (e) (f)

Compliance, c 1.05 1.07 1.48 1.20 2.68 2.70

vertical deflection ωz/ωx


1.4

1.2

1.0
Normalized ratio

0.8

0.6

0.4

0.2

0.0
1.0 1.5 2.0 2.5 3.0 3.5 4.0
elbow compliance, c

Figure 3.33 Plot of ωz and zsag,g from expression in Table 3.5.

101
Suspension: Dynamics

The outcome of the investigation of various designs is to select a design which increases

rejection ratio for the spurious modes. Semicircular elbow geometry (design (d) in

Table 3.6) with cross bar, unfilled, represents a reasonable compromise between good

stiffness and low suspension mass.

3.7 Optimum Design Parameters

By analysing the various designs we have selected three potential configurations which

seem to satisfy the criteria for a 10 Hz suspension and at least 10 times separation

between the fundamental and first on-axis spurious mode while trying to maximize the

other rejection ratios as well.

Table 3.7 gives the dimensional parameters and expected normal modes for those

designs. Variance from these characteristics are expected for the final device as the

fabrication methods described in chapter 4 will not yield an ideal geometry, this is

discussed in chapter 5 and the models modified to accommodate process induced

variations.

The lowest spurious mode rejection ratio for one spring set suspension is better than the

two and three spring set suspensions but the superior rejection ratio comes as a result of

the higher fundamental mode of the one spring set suspension. For the three spring set

suspension the first on-axis spurious mode is ten times the fundamental satisfying the

design criteria of a 10 Hz suspension with a rejection ration to on-axis spurious mode of

at least 10.

102
Suspension: Dynamics

Table 3.7 Dimensional parameters for selected designs.

1-spring 2-spring 1-frame 3-spring 2-frame

Material constants

E, GPa 129 (for Si <100>)

G, GPa 52.4 (for Si <100>)

ν 0.23

ρ, kg/m3 2330
Dimensional parameters

t, μm 525

w, μm 24 24 24

l, mm 8.32 8.32 8.21

g×h, mm 8.96×6.03 8.96×6.03 8.96×6.03

ws, mm 100 100 140

c 1.20 1.20 1.20

n 1 2 3
Resonant frequencies

Calc. FEA Calc. FEA Calc. FEA

ωx 17.65 17.01 12.48 11.98 10.40 9.74

ωx1/ωx 24.41 37.43 13.99 12.82 10.78 10.87

ωy/ωx 9.76 9.10 9.76 8.98 9.63 8.92

ωz/ωx 9.13 8.53 9.13 7.98 9.07 7.80

ωα/ωx 12.54 12.85 12.54 12.64 12.32 12.55

ωβ/ωx 15.82 15.99 17.19 16.01 18.42 16.55

ωγ/ωx 9.44 9.63 9.84 9.35 10.09 9.50

103
Suspension: Dynamics

3.8 Summary

The author’s key work covered in this chapter is the numerical modelling of the

suspension dynamics and statics. The numerical models are also used to refine the

analytical models (created by Tom Pike to estimate suspension dynamics) to incorporate

important parameters while keeping them simple for heuristic examination of the design

space. The concept of intermediate frame is covered in US Patent number 7036374

(Pike, Stanley, Syms). The dimensional characterization and modelling was performed

by the author.

Analytical and numerical models were used to simulate the dynamics of the lateral

suspensions. The analytical formulas have the advantage of allowing the immediate

characterization of a suspension design and giving a heuristic approach to optimization.

The numerical model incorporates effects of factors which are neglected in analytical

model like silicon anisotropy and mass loading effect of the springs, additionally it

allows visualization of the resonant modes.

The models were used to analyze multiple spring set suspensions which due to their

larger number of springs allows for a lowering of the resonant frequency of the

suspension. The disadvantage of the increasing number of spring sets was the dramatic

drop in the rejection ratio for spurious modes.

The introduction of intermediate frames into lateral suspension is beneficial to cross-

axis rejection in the out-of-plane direction. Intermediate frames also improved rejection

104
Suspension: Dynamics

ratio for all other modes. The price to be paid for the introduction of the frames is in the

lowering of the first on-axis spurious mode (x1) due to the increased mass loading. The

mass loading is minimized by using a relieved structure for the intermediate frames,

although at the expense of some loss in torsional strength. The extra cross-axis stiffness

introduced by the intermediate frames outweighs the deterioration of the on-axis

dynamics and frames are incorporated between each suspension unit. In addition to

intermediate frames, webbing at the elbows of the suspensions greatly reduces the out-

of-plane bending with little loss of compliance in the on-axis direction.

The analytical expressions of Table 3.3 and Table 3.5 are used to first determine the

lowest-frequency spurious mode of a candidate design. Examination of the parameters

then leads to possible variations on the geometry to push up the rejection ratio of this

mode. In general, as the lowest-frequency spurious mode is thus pushed away from the

fundamental, other spurious modes will be lowered in frequency. The widest separation

between the fundamental and first spurious value occur as the two lowest spurious

modes have the same frequency.

The three suspensions presented in Table 3.7 give an example of this approach for an

increase in the number of frames. As the number of suspension sets increases from one,

to two, to three, the lowest rejection ratio is raised compared to non-intermediate frame

suspensions while the other spurious mode rejection ratios decrease. For three

suspension sets several modes have a very similar rejection ratio, representing a near

optimum design for clean bandwidth.

105
Suspension: Dynamics

3.9 Conclusion

The agreement between analytical and FEA model provides confidence in formulas for

the lateral suspension. Further calculations of model suspensions show excellent

agreement of FEA and analytical results across a broad range of unfabricated

geometries.

Intermediate frames were demonstrated to decouple the rejection ratio from number of

spring sets for multiple spring set suspensions. They improved the rejection ratio for all

modes except the first on-axis mode.

The models were used to determine the dimensional characteristics of a set of

suspensions with varying number of spring sets and intermediate frames. The selected

suspensions are near optimum solutions for attaining the requisite resonant frequency

and cross-axis rejection as the mode rejection ratios plateau around 10 for a range of

designs based on the same original configuration of mirrored joint folded cantilever

spring suspensions with intermediate frames. The spring end connectors are designed

with a cross-bar to improve the out-of-plane rigidity of the springs.

Particular design or performance constraints can be incorporated to preferentially reject

a particular mode. An example may be if the out-of-plane z-axis deflection should be

minimized to allow for minimum gaps between the suspension and any sandwiching

structures. In this case maximizing the rejection of one specific mode may be preferable

to overall maximization of the clean, spurious-mode-free, bandwidth above the

fundamental.

106
Suspension: Dynamics

The suspension designs presented in Table 3.7 are then prepared for fabrication, which

is covered in the next chapter.

107
Suspension: Dynamics

3.10 Bibliography

[3.1] W. C. Tang, T.-C. H. Nguyen, and R. T. Howe, "Laterally driven polysilicon


resonant microstructures," presented at IEEE international conference on Micro
Electro Mechanical Systems and published in proceedings 'An Investigation of
Micro Structures, Sensors, Actuators, Machines and Robots', 1989.
[3.2] W. C. Tang, T. C. H. Nguyen, M. W. Judy, and R. T. Howe, "Electrostatic-
Comb Drive of Lateral Polysilicon Resonators," Sensors and Actuators A:
Physical, vol. 21, pp. 328-331, 1990.
[3.3] A. S. Tamsir, F. Saharil, and B. Y. Majlis, "The optimization of mechanical
harmonic modes of the 75 g balanced weight distribution capacitive
accelerometer," presented at IEEE International Conference on Semiconductor
Electronics, 2002.
[3.4] T. Harness and R. R. A. Syms, "Characteristic modes of electrostatic comb-drive
X-Y microactuators," Journal of Micromechanics and Microengineering, vol.
10, pp. 7-14, 2000.
[3.5] W. T. Pike and S. Kumar, "Improved Design of Micromachined Lateral
Suspensions using Intermediate Frames," (accepted) Journal of Micromechanics
and Microengineering, 2007.
[3.6] W. Weaver, S. P. Timoshenko, and D. H. Young, Vibration problems in
engineering, 5 ed: John Wiley & Sons, 1990.
[3.7] H. J. Himelbau and S. Rubin, Vibration of a resiliently supported rigid body, in
Shock and Vibration Handbook, C. M. Harris, Ed., 4th ed. New York: McGraw-
Hill, 1996, pp. 3.1-3.57.
[3.8] ADXL50, "Analog devices ADXL50," http://www.analog.com.
[3.9] H. Luo, G. Zhang, L. R. Carley, and G. K. Fedder, "A post-CMOS
micromachined lateral accelerometer," Journal of Microelectromechanical
Systems, vol. 11, pp. 188-195, 2002.
[3.10] Y. Suzuki and Y.-C. Tai, "Micromachined high-aspect-ratio parylene beam and
its application to low-frequency seismometer," presented at The 16th IEEE
International Conference on Micro Electro Mechanical Systems, Kyoto, Japan,
2003.

108
Suspension: Dynamics

[3.11] I. Y. Park, C. W. Lee, H. S. Jang, Y. S. Oh, and B. J. Ha, "Capacitive sensing


type surface micromachined silicon accelerometer with a stiffness tuning
capability," presented at The IEEE 11th Annual International Workshop on
Micro Electro Mechanical Systems, Heidelberg, Germany, 1998.
[3.12] G. Zhang, H. Xie, L. E. de Rosset, and G. K. Fedder, "A lateral capacitive
CMOS accelerometer with structural curl compensation," presented at The 12th
IEEE International Conference on Micro Electro Mechanical Systems, Orlando,
FL, USA, 1999.
[3.13] R. J. Roark, Roark's formulas for stress and strain, 6 ed: McGraw-Hill, 1989.
[3.14] R. Liu, B. Paden, and K. Turner, "MEMS resonators that are robust to process-
induced feature width variations," Journal of Microelectromechanical Systems,
vol. 11, pp. 505-511, 2002.
[3.15] A. Kelly and G. W. Groves, Crystallography and Crystal Defects, Harlow, UK
Longman, 1970.
[3.16] Ansys Multiphysics, Ansys inc., Southpointe, 275 Technology dirve,
Canonsburg, PA 15317 USA http://www.ansys.com.
[3.17] W. T. Thomson and M. D. Dahleh, Theory of Vibration with Applications, 5 ed:
Prentice-Hall, Inc., 1998.
[3.18] K. F. Riley, Mathematical Methods for the Physical Sciences, Cambridge
University Press, 1974.
[3.19] W. T. Pike and I. M. Standley, "Determination of the dynamics of
micromachined lateral suspensions in the scanning electron microscope,"
Journal of Micromechanics and Microengineering, pp. S82-S88, 2005.
[3.20] W. T. Pike, I. M. Standley, and R. R. A. Syms, Improved micro-machined
suspension plate with integral proof mass for use in a seismometer or other
device, US Patent US7036374: May 2, 2006

109
four
4 Suspension: Fabrication

This chapter covers the fabrication of the lateral silicon suspension. Processing of the

silicon wafer using DRIE has been analyzed along with issues relating to high aspect

ratio micromachining. Finally a set of processing parameters is developed to achieve the

desired geometry of the lateral suspension in silicon.

110
Suspension: Fabrication

4.1 Introduction

Subsequent to suspension design analysis using analytical and numerical methods, the

suspension is fabricated by etching single crystal silicon wafers. The full thickness of

the silicon wafer is used to maximize the inertial mass. To etch through the wafer and

maintain a vertical profile, the etching has to be highly anisotropic. Common techniques

for creating highly anisotropic structures from silicon are anisotropic wet etching

utilizing silicon crystal planes, and fluorine based high-density low-pressure

inductively-coupled plasma etching.

Anisotropic wet etching using KOH (potassium hydroxide) or TMAH

(tetramethylammonium hydroxide) to create silicon structures with (111) limiting plane

or (110) limiting plane is unsuitable for a geometry which has vertical spring elements

with sidewalls along planes other than (111) and (110). Such etching is generally

suitable for only rectangular geometry.

Plasma processing is the most widely used process in the microelectronics industry. It

has become popular for fabricating high-aspect-ratio MEMS devices after the

development of Deep Reactive Ion etching (DRIE) systems based on the Bosch process

[4.1] which alternates between an etching and a passivation cycle to achieve an

anisotropic etch.

The complete fabrication sequence is discussed in section 4.3 and 4.4. As with most

processing methods DRIE has its own set of problems such as grassing, etch lag,

sidewall roughness, etch profile, notching, etc., which we will discuss in section 4.5.

111
Suspension: Fabrication

4.2 Deep Reactive Ion Etching (DRIE)

Plasma is a largely ionized gas, consisting of positive (ions) and negative (electrons,

negatively charged ions) particles. It is electrically neutral due to the equal number of

positive and negative charges. The plasma generates electrons, atomic and molecular

ions, and atomic and molecular radicals. These plasma species undergo chemical,

physical and chemo-physical reactions with atoms of silicon to form volatile reaction

products. For directional etching the ions can be accelerated using an external electric

field. The accelerated ions remove the target atoms by physical ion bombardment. The

radicals are chemical species which generally cause chemical isotropic etching. Low

pressure inductively-coupled plasma creates a high density of ions and has become the

preferred source for high rate DRIE systems.

There are two main established technologies for deep anisotropic plasma etching of

silicon: cryogenic [4.2] and Bosch [4.1] (also known as time-multiplexed or pulsed

etching). In the cryogenic process the wafer is cooled down to below -100 C, which

slows down spontaneous chemical etching by radicals that is isotropic in nature and

only vertically accelerated ions drive the etching process. The need to cool down the

chamber to cryogenic temperatures makes this process expensive and there is a lack of

standard industry cryogenic etchers though some (ex. METlab system of Alcatel, and

Plasmalab 100 system of Oxford Plasma Technology) companies are starting to

commercialize this technique.

The Bosch process works by passivation of the sidewalls in between etching steps (see

Figure 4.1). The process starts by first isotropically etching exposed substrate (Figure

4.1a). After a brief period (typically few seconds) the etching is stopped and a polymer

112
Suspension: Fabrication

passivation layer is deposited (Figure 4.1b). The passivation layer protects the substrate

from further chemical attack by the radicals in the plasma. The process then returns to

the etching cycle where the vertically accelerated ions bombard the bottom of the

previously etched trench removing the passivating layer (Figure 4.1c). The etch then

proceed isotropically to extend the etched trench (Figure 4.1d). Etching therefore is

preferentially in the vertical direction.

Figure 4.1 Passivation and etch cycle in a typical DRIE step. (a) Isotropic etch of silicon in exposed

regions on the wafer, (b) Deposition of polymer CFn on all surfaces, (c) Preferential etching of

polymer from the bottom of the trench by vertically directed positive ions, and (d) Isotropic etching

of the trench bottom by fluorine radicals.

Sulphur hexafluoride (SF6) and octafluorocyclobutane (C4F8) are used for etching and

passivation respectively. SF6 plasma generates fluorine atoms, positive ions SFx+ (x

varying from 0 to 5), positive fluorine ions F+, and negative ions SFy- (y varying from 4

to 6), F- and F2- [4.3, 4]. Rauf et al. [4.5] reports that in inductively coupled SF6

plasmas, the primary ions produced directly from SF6 are SF3+ and SF5+ , however they

113
Suspension: Fabrication

indicate SF2+ to be one of the important ions, where SF2+ is mainly produced due to

ionization of the SF2 radicals.

SF6 + e − ⇒ SFx+ + SF y− + SFx* + F + + Fz− + F *

where x = {0, 1, 2, 3, 4, 5}, y = {4, 5, 6}, z = {1, 2}.

The chemical etching reactions are

Si solid + 4F → SiF4 gas

Si solid + 2F → SiF2 gas

Figure 4.2 Model for inductively coupled plasma etch showing the positive and negative species in

the plasma, their energy angular distribution function (ADF) and the potential drop across the

sheath which accelerates the positive ions.

Figure 4.2 shows the model of the plasma etcher. The positive fluorine ions are

accelerated across the plasma sheath and causes the ion bombardment induced etching.

Neutral radicals cause chemical isotropic etching. Electrons and other negative ions

seep across the sheath and are isotropic in nature so are confined to the top surface of

114
Suspension: Fabrication

the substrate whereas positive ions due to their higher energy (higher velocity due to

acceleration by sheath potential) are able to reach the trench bottom. The model shows

how the electrons and ions have similar energy angular distribution function (ADF)

inside the plasma. Due to the presence of a potential drop across the sheath the positive

ions have a higher energy ADF at the substrate.

The etching comprises of physical and chemical etching. Physical etching or sputtering

relies on energy and momentum transfer from an impinging particle by means of

elastic/inelastic scattering [4.6]. Chemical etching takes place due to the disassociation

of SFx by ion bombardment into fluorine radicals which chemically react with silicon.

To achieve vertical sidewalls or anisotropic etching, during the passivation cycle the

etched sidewall is covered with CF or CFx (x = 1..3) monomer which is generated by

plasma dissociation of CnF2n+2 . The SFx plasma species reacts with the CFx plasma

species deposited on the polymer, forming non-volatile reaction products which guard

the sidewall from etching [4.7].

C 4 F8 → CFx , x = 1,2,3
+
CFx ⎯⎯→
⎯ (CFx ) n
Ar

4.2.1 Applications of DRIE

DRIE has been used to make a variety of Microsystems devices [4.8], from inertial

sensors such as accelerometers [4.9, 10], gyroscopes [4.11], to optical passive and

active components like switches [4.12], tunable optical filters [4.13], energy conversion

devices [4.14], biomedical devices like needles [4.15], magnetic resonance imaging

Helmholtz coil [4.16], and for creating through-wafer interconnects [4.17] (Figure 4.3).

115
Suspension: Fabrication

Figure 4.3 DRIE devices (a) Actuator-mirror structure fabricated on SOI (Prof. de Rooji, IMT), (b)

MicroSEM deflector structure (Prof. de Rooji, IMT), (c) A MEMS gyroscope fabricated on SOI

[4.11], and (d) Micro-machined intracellular needle [4.15], pillar etched using DRIE and then post

processed to achieve the sharp tip.

There are multiple commercial DRIE systems on the market by STS (Surface

Technology Systems), Alcatel, Oxford instruments, and Plasma-therm which are able to

provide high-rate anisotropic etching of very high-aspect-ratio features. The availability

of high-rate industrial systems is important for through-wafer etching 500 μm to 1 mm

thick wafers.

4.3 Fabrication Process Flow

Through-wafer etching needs special considerations compared to conventional

semiconductor etching where etched features are shallower and the silicon substrate’s

116
Suspension: Fabrication

bottom surface is not breached. In this section we will look at these special

considerations for through-wafer DRIE.

The DRIE process is carried out in a computer-controlled system which is described in

section 4.3.1. The process depends on many parameters like gas flow rates, cycle times,

number of cycles or total process times, chamber pressure, chamber temperature, coil

power used to generate plasma, platten power to apply a bias voltage to the ions, and the

gases. In the systems used for fabricating this suspension, SF6 is used for etching

(though a small amount of Oxygen is added to remove any sticking residue in the

chamber or in the residue extraction system) and C4F8 is used for passivating the

surfaces undergoing etching.

4.3.1 System description

The suspensions are fabricated using a Surface Technology Systems multiplex ICP

(Figure 4.4a). The etching chamber is maintained at a low pressure by a turbo pump.

The system has two independent 13.56 MHz RF power sources. As shown in Figure

4.4b, the Coil RF Matching unit is driven by a 1000 W RF power supply. The coil is

inductively coupled to the plasma to maintain a high-density plasma. A second 200 W

RF power source drives a Plasma Matching unit which is used to vary the RF bias

potential of the wafer with respect to the plasma.

The etching chamber has a single feed on top for the etching/passivating and auxillary

gases (SF6, C4F8, O2, and Ar). The etching chamber is connected to an automatic load

lock which eliminates the need to open the chamber to load the wafer thus allowing the

chamber to be maintained continuously at low vacuum. The wafer is loaded into a twin

wafer capacity loading tray which automatically loads the wafer on the chuck in the

117
Suspension: Fabrication

etching chamber. The chuck is an electrostatic “clicks” plate which uses a high potential

(up to 1000V) across its coating of dielectric layer to grip the wafer. The chuck has 12

μm deep grooves on the top surface and a pressurized helium inlet. The wafer is kept at

a constant temperature of 40°C by helium flowing on its backside during the processing.

The chamber walls are kept at 60°C by water flowing on the outside of the chamber

wall to avoid any residue depositing on the sidewalls of the chamber. The etch chamber

is continuously pumped to remove all volatile etch by-products.

Figure 4.4 (a) STS DRIE Kit [4.18], (b) STS ICP Multiplex process chamber Schematic (Source:

[4.19]).

The silicon etch rate, photoresist selectivity, profile shape of the etched trench, surface

quality and etch rate uniformity is dependent on various parameters such as gas flow

rates, platen and coil power, etch and passivate cycle times and chamber pressure. The

operator can change the etching and passivation times by changing the etch/passivate

time ratio while keeping the cycle time constant or by increasing the cycle time. The gas

flow rate for SF6, O2, C4F8 and Ar can be modified and is usually represented in sccm

(standard cubic centimeters per minute). The total etch time can be given and the

software automatically calculates the number of cycles needed. The coil and platten

power can be varied: coil power refers to RF power used for plasma generation, platten

118
Suspension: Fabrication

power is the bias between the chamber walls and substrate holder to accelerate the ions

vertically to achieve anisotropy. The chamber pressure is varied either by setting the

pressure to a specific milli-torr value or by varying the valve angle, also called APC

(automatic pressure control) angle in which case the pressure is measured by the flow

rates of the various gases.

The basic requirement of successfully patterning the silicon wafer to desired geometry

is to develop a process flow which transfers the pattern from mask to the silicon wafer.

To etch areas of silicon selectively needs other areas on the wafer to be guarded against

the etchants. This needs a suitable masking material which can withstand the etchants

longer than the silicon to be etched. The etching process parameters are then tuned to

achieve the desired etch. An iteration of this cycle is generally needed to achieve the

desired result.

4.3.2 Mask preparation

To transfer the design pattern onto silicon, a mask is prepared which transfers the layout

onto the masking layer (oxide, photoresist, nitride etc) so only areas which need etching

are exposed to the plasma.

A key concern with plasma processes is the loading effect. In DRIE due to the

dependence of etch rate on silicon exposure area, feature rich regions etch slower than

feature scarce regions. This effect is generally known as microloading [4.20] and is due

to the reactant depleted condition in areas with higher silicon exposure (a higher

consumption of reactant species for etching silicon with constrained replenishing of

fresh reactants).

119
Suspension: Fabrication

Additionally smaller areas etch slower than larger areas which is commonly known as

etch lag [4.21]. To avoid both microloading and etch lag we need to design the mask so

that the silicon exposure is similar across the wafer and the etch channels are of similar

dimensions.

To achieve uniform etching throughout the wafer, the features are etched using constant

channel width on either side. This scheme is commonly referred to as halo mask [4.22].

An example is shown in Figure 4.5 which shows a spring and frame (dark grey) feature

surrounded by constant width etch gap.

Regions which are not structural parts, but are present to minimize silicon exposure and

give a constant channel width for all the etchings, are called “packing” pieces. After a

through-wafer etch, the “packing” pieces which are not attached to any structure on the

wafer fall-off during the release process.

Figure 4.5 A halo mask and its various components for a 2D mask designed on a mask plate for

transferring the pattern onto photoresist.

The etch channel width was set to 40 μm after doing test studies with varying etch gaps.

With the same process parameters, gaps wider than 40 μm etch faster but results in poor

120
Suspension: Fabrication

sidewall quality (see Figure 4.6). Smaller gaps take longer to etch through and for gaps

smaller than 20 μm, the etchant flux density is extremely low at the foot of the etch

trench resulting in etch cessation.

Another aim of the process engineering is to achieve a single “process parameter set”

for the complete etch run instead of parameter ramping. Automatic process parameter

ramping though a useful method available with DRIE system needs to be constantly

modified with changing mask designs, resulting in a higher process development time.

Figure 4.6d shows etched sidewalls for varying trench width. For trench width above 40

μm we can see significant damage to the sidewall. Additionally Figure 4.6a-c show the

profile shape becomes less vertical with higher gap width.

Figure 4.6 Sidewall quality for varying channel/trench width, (a) 40μm gap, (b) 60μm gap showing

a widening of the gap towards the bottom of the trench as the profile diverges away from vertical,

(c) 80μm gap shows the increase in profile shape divergence from vertical with bigger gap, (d)

beams with gap varying from 40-400μm show the increased breakdown of the sidewall with larger

gap.

121
Suspension: Fabrication

4.3.3 Pattern transfer

Etching silicon needs a masking layer with higher resistance to plasma etching than

silicon. Typical masking materials for DRIE are photoresist, nitride and oxide. The etch

rate for the DRIE system is on the order of 1-2.5 μm/min, though it can be as high as

10-50 μm/min for the latest high etch rate DRIE systems. At 2μm/min etch rate it takes

4 hours 20 minutes to etch a 525 μm thick wafer so we need a mask layer that can last

such a long etch. Thick photoresist and oxide are therefore investigated as appropriate

mask material for the etch.

AZ9260[4.23] is a high resolution thick resist distributed by Clariant with an aspect

ratio of up to 6:1. It is easily spun to 4-12 μm thickness. A process was developed to

spin 9 μm (Figure 4.7) and 14 μm thick AZ9260. The selectivity of the resist is 80:1 for

the DRIE process parameters, so requires about 7 μm thick resist coating for etching a

silicon wafer 525 μm thick.

Figure 4.7 SEM image of 9 μm thick AZ9260 photoresist.

Thick oxide was also investigated as a mask material as oxide has a high selectivity to

SF6 plasma etch, typically 180-200:1 [4.24] requiring approximately a 3 μm thick oxide

122
Suspension: Fabrication

layer for the etch. Silicon wafers with 3 μm thick oxide grown using wet oxidation

process is procured. The oxide is then dry etched using CHF3 plasma. The sidewall and

pattern transfer to oxide is poor due to high-temperature damage to the photoresist used

for oxide etching and the isotropic nature of the etch (Figure 4.8). Etching oxide using

buffered HF (49%) gives poor results due to the isotropic nature of the etch leading to

extremely high undercut.

Figure 4.8 (a) SEM of 5 μm thick oxide etched using CHF3, (b) SEM of damaged photoresist

(AZ9260, 7 μm thick) after oxide etch.

For through-wafer DRIE we decided to use photoresist as the masking layer, Table 4.1

presents the pros and cons of oxide and photoresist as a masking layer in DRIE. The

photoresist layer needs to be thicker than oxide to withstand the etchants but in all other

respect they are similar or better than oxide when used as a masking layer. Photoresists

are organics and provide an additional supply of carbon during the etch thus helping the

overall passivation.

Even though oxide is removed from consideration as a masking layer for silicon DRIE,

a thin layer of oxide is grown that prevents photoresist mask erosion and acts as a

insulation layer for any electrical circuit fabricated over the suspension. A process is

123
Suspension: Fabrication

developed to grow 200 nm and 500 nm thermal oxide on silicon wafer and etch using

CHF3.

Table 4.1 Comparison of oxide and photoresist as a masking layer for DRIE.

Characteristics Oxide Photoresist

Selectivity 180-200:1 80:1

Processing time Long Short

Processing cost High Very low

Compatibility with pre- Need another layer to Fine


existing layers protect any features on
pre-processed substrate

Pattern transfer from mask Plasma etch or HF etch Alkaline developer

Pattern transfer onto Very good Good


Silicon

An oxide etch recipe is developed to avoid damaging the photoresist mask, which also

works as mask for the silicon etch. The key reason for the damage to photoresist during

oxide etching (Figure 4.8b) is the high temperature that the photoresist was exposed to

during the etch. The temperature of the wafer exceeded 150°C in just 5 minutes of etch.

To make sure the temperature of the wafer is not allowed to exceed 60°C the etch cycle

is kept short, 1 minute long and the wafer is cooled for 2 minutes before resuming the

etch. This time-multiplexing of etching and cooling cycles results in good etch profile

of the oxide and avoids damaging the photoresist.

4.3.4 Through-wafer etch

Availability of high-rate DRIE systems which allows highly anisotropic silicon etching,

makes wafer thick suspensions possible. The process needs to be tuned to perform

wafer thick etching and precautions are taken to avoid etchant contact with the wafer

124
Suspension: Fabrication

holder by using a handle wafer underneath the device wafer. The mask needs to be thick

enough to sustain etchant bombardment for the total etch time. The etch area needs to be

optimized (through mask design) to attain a maximum rate of etch to minimize etch cost

as well as to minimize uniformity variations.

Figure 4.9 Process flow schematic for through-wafer silicon etching using DRIE.

Figure 4.9 shows typical steps in through-wafer etching a silicon wafer. A 200 nm SiO2

layer is first grown on the silicon wafer and then covered with photoresist. The mask

pattern is photolithographically transferred to the photoresist. The oxide is patterned

using CHF3 plasma, thereafter the wafer undergoes a partial DRIE short of the etch

breaching the backside of the wafer. The wafer is then removed from the etcher and

bonded to a handle wafer using a temporary adhesive. The etch is then resumed to

completion. The wafer is thereafter released from the handle wafer and the photoresist is

stripped yielding the final device. The need for a handle wafer and the subsequent

release process are the additional steps involved over conventional DRIE. In the next

section we discuss various ways of protecting the etcher substrate holder from plasma

by using a handle wafer or protective layer.

125
Suspension: Fabrication

4.3.5 Wafer mounting techniques

For through-wafer etching, it is mostly desirable and sometimes critical to have a

protective surface on the other side of the wafer so that when the etch gets through the

first wafer it is contained by the underlying surface. In DRIE we need a protective layer

on the backside of the wafer which acts as an etch stop to avoid damaging the chuck

(substrate holder in the etcher). The STS system flows helium on the backside of wafer

to maintain a lower temperature so a mechanically strong and sealing layer is needed to

stop the helium leaking into the plasma chamber. It is quite common to remove the

handle wafer once the through-wafer etching is done, so a system of temporarily

bonding wafers is an important consideration in through-wafer etching. We present

various techniques for temporarily bonding wafers, which are mechanically robust and

releasable. In DRIE the added concern of etch variation with temperature makes it

critical to have a bonding method which does not affect the thermal sinking of the

substrate with the chuck.

The protective surface is applied either at the start of the etch or after a significant

portion of the etch has finished though always before the etch has breached the wafer

backside. The surface is generally another silicon wafer bonded to the substrate wafer. It

protects the chuck which is used to bias the substrate to vertically direct the ions in the

plasma, and also to flow helium for maintaining the wafer at a low temperature. In the

absence of a handle wafer the ions etch through the substrate wafer causing micro holes

leading to helium leaks into the chamber and premature termination of the etch run

(dependent on the Helium leak rate value set in the etching process parameter). Directly

exposing the chuck to plasma ions reduces its life due to failure of the dielectric coating.

The handle layer is also needed if there are loose structures on the wafer which will

126
Suspension: Fabrication

come off when the wafer is etched through; this is always the case with halo geometries.

Etched structures can also fall off when using the DRIE as a way to dice the wafer;

though using tabs (die connecting structures) can avoid the dies from falling onto the

clicks plate during removal. Etching small wafers or a piece of wafer also requires a

handle wafer to form a leak-proof seal with the chuck.

The etch rate, sidewall surface smoothness, trench profile, and mask selectivity varies

with temperature of the substrate wafer. It is normally seen that a higher temperature of

the substrate wafer leads to a fast erosion of the mask layer so it becomes crucial to

have a thermally conductive protective layer on the backside of the wafer which allows

the Helium flow on the backside of wafer to keep the ‘substrate-handle wafer’ sandwich

thermally stable. Another issue with through-wafer etching is notching (discussed in

section 4.5.9) which happens at the silicon-insulator interface, which is a concern if the

protective layer is electrically insulating leading to charging up of surfaces during etch.

This can be avoided by having an electrically conducting layer on the wafer backside.

The handle wafer or the protective layer should be easy to release after etch is

completed, so that the fragile devices can be handled without any mechanically or

chemically aggressive release mechanism. To summarize, the ideal protective coating or

handle wafer bonding mechanism, it should have the following characteristics –

ƒ Mechanically strong, to sustain the helium pressure difference and hold the

etched structures together.

ƒ Flat to make a leak proof seal with the chuck

ƒ Should not affect chamber composition (no out-gassing etc)

ƒ Thermally conductive to keep the substrate at a stable temperature

127
Suspension: Fabrication

ƒ Electrically conducting to avoid notching (section 4.5.9)

ƒ Easy to release without resorting to aggressive mechanical or chemical methods

(chemically benign release process to prevent damaging other layers on the

wafer)

ƒ Process cleanliness

We investigated various ways of bonding a handle wafer to the substrate wafer using

various adhesives as well as tested a metal protective layer. The pros and cons of each

system are presented in Table 4.2.

Table 4.2 Comparison chart for various wafer bonding techniques.

Bonding method Photoresist Mounting Cool- Staystik Metal


SPR 1813 wax grease (Protective
Characteristics
Layer)
[4.25]
Mechanical Strong Strong Strong Strong Medium

Flatness Excellent Good Good Good Good

Outgas None None None None None

Thermal Conductivity Bad Bad Good Fair Excellent

Electrical Conductivity Bad Bad Bad Fair Excellent

Release Process Easy Easy Hard Hard Medium

Cleanliness Good Good Bad Fair Excellent

It is evident from the comparison chart of wafer bonding technique that the best method

for through-wafer etching is to use a metal layer which is mechanically strong thus

removing the need for a separate handle wafer. The metal layer should be compatible

with the overall process and suitable for electroplating to 10-20 μm thickness. Nickel

plated to 5 μm thickness was found to be suitable for use as a protective layer. The

disadvantage of metal on the wafer backside is the necessity for a chemical etch to

128
Suspension: Fabrication

remove the metal layer to release the features. The next best method is to use a thin

photoresist layer to bond the wafers. It is post-baked to improve adhesion and thermal

conductivity and is easy to release.

For relatively shorter etch, where silicon is already etched through most of the wafer

thickness, a handle wafer is attached using a thin layer of photoresist. Shipley SPR 1813

was spun on a single-side-polished wafer and then bonded to the device wafer. The

photoresist bonded wafer stack was found to perform good thermal sinking for shorter

etches on the order of 60-90 minutes.

4.4 DRIE Process Parameters

The effect of each of the processing parameters is covered in detail by Chen et al. [4.26-

28]. The major parameters which can be varied during the etch are etch:passivate ratio,

cycle (etch+passivate) time, coil power, platen power, chamber pressure or APC, gas

flow rates, gas flow rate ratio (SF6 : C4F8), and some minor ones like O2 flow rate with

SF6, and overlap of etching and passivation steps. All these parameters have an effect

on the silicon etch rate, photoresist etch rate, sidewall quality, profile shape of the etch

trench, and uniformity of etch across the wafer.

SF6 and C4F8 flow rates: Increasing the flow of SF6 increases the concentration of the

etchants in the chamber leading to higher etch rate of silicon, as well as higher etch rate

of photoresist decreasing selectivity. At low SF6 flow rates the concentration of fluorine

is limited and so the etch rate plateaus even if other parameters which increase

ionization and dissociation of SF6 are increased, the amount of SiF4 that redeposits also

increases [4.27]. Increasing the flow rate of C4F8 increases the passivation species CFx

129
Suspension: Fabrication

decreasing the silicon etch rate and increasing the selectivity of photoresist. The

deposition of the Teflon like CFx polymer on the sidewall is self-limiting [4.29, 30] but

is dependent on the ion bombardment and with a higher ion density it can be

significantly thicker. As we will see in section 4.5 depending on the relative

concentration of the etchant and passivating species, the sidewall quality can vary from

smooth to extremely rough, and trench profile shape can go from positive for a etchant

rich environment to negative or reentrant for passivation rich environment. With

increasing trench depth, the flow rate of SF6 needs to be increased to counteract the

reduction in etching rate.

Etch:Passivate ratio (EPR): Similar to the etching and passivating gas flow rates, a

higher EPR will lead to higher etchant species density compared to passivation species.

Generally for deep etches it is desirable to have a higher EPR as the etch depth increases

as the density of ions reaching the trench bottom decreases with increasing trench depth.

Cycle time: The total time a single set of etch and passivate steps take is the cycle time.

Increasing the cycle time for an etching dominant EPR leads to larger scalloping on the

sidewalls as in each cycle the etchant radicals are active for longer leading to increased

isotropic etching of the sidewall.

Coil power: The ionisation and dissociation of SF6 and C4F8 is dependent on the coil

power. Higher coil power leads to a higher density of the ions and radicals in the

plasma. Depending on the EPR and gas flow rates, the concentration of the species

which is dominant will be increased.

130
Suspension: Fabrication

Platen (electrode) power: The platen bias is typically used to accelerate the ions only

during the etching step so increasing the platen power increases the directionality of the

ions allowing for a higher density of ions to reach the trench bottom increasing the

silicon etch rate, and verticality of the trench. As the platen power has no affect on the

radicals which are neutral species, the isotropic part of the etch remains unaffected but

due to an increase in vertical etch rate the scallop size can be reduced by decreasing the

density of the etchants without affecting the vertical silicon etch rate. Increasing the

platen power increases the photoresist etch rate, reducing selectivity, as the photoresist

is now exposed to higher energy ion bombardment.

APC: The silicon etch rate increases initially with increasing pressure as the fluorine

density increases but then as the pressure is increased further it starts decreasing as the

mean free path for the ions decrease with increasing pressure lowering the ion energy.

Etching and Passivation step overlap: The overlap of SF6 extends the etching step and

reduces the discontinuity in plasma potential while switching from etching to

passivating plasma. This helps maintain a stable glow discharge which leads to

improved uniformity. For electronic devices it is critical at times to have a stable plasma

as a nonuniform plasma can induce current in the electronic circuit damaging it [4.27].

O2 flow during etching: A small amount of Oxygen is added to SF6 during the etching

step, this increases both the silicon and photoresist etch rates. The selectivity is however

unaffected as the etch rate increase is almost similar. Oxygen plasma also removes the

passivating layer though its affect on reducing passivation film from the sidewalls is

131
Suspension: Fabrication

limited due to a lower flux at the sidewall. Oxygen is also added in the system to reduce

sulphur deposition on the chamber sidewall and residue in the extraction pipes.

DRIE is dependent on a large number of process parameters and has a wide process

window allowing the process engineer a large amount of flexibility. The initial goal of

any process engineering is generally to remove or reduce any deleterious effects of the

processes, as we see in the next section DRIE has a number of problems which need to

be addressed to enable the desired micromachining of silicon.

4.5 DRIE Induced Artefacts

Plasma induced damage are a common occurrence in any plasma processing. Some of

these effects are inherent to plasma-surface interaction and can be reduced by changing

processing parameters but cannot be completely eliminated while others can be

completely eliminated. In DRIE, effects of plasma-surface interaction besides the

desirable anisotropic etching are evident as deleterious artefacts which can compromise

the performance of the fabricated structures. In section 4.5.1 to 4.5.6 we will look at

some of the deleterious artefacts which can be easily removed or do not compromise the

performance of the suspension. In section 4.5.7 we look at sidewall quality of through-

wafer etching; smooth sidewalls are important to increase the fracture strength of the

silicon springs as well as to reduce structural defects that can affect the quality factor.

Section 4.5.8 concerns etch profile shapes and ways to control them. We examine a

technique to eliminate notching in through-wafer etching in section 4.5.9.

4.5.1 Grassing

During etching, large open areas develop tall sharp silicon features due to incomplete

removal [4.28], this is particularly common with increasing etch depth due to lack of

132
Suspension: Fabrication

sufficient etchant species reaching the etch channel floor. Figure 4.10 shows grass

formation in large open squares.

Figure 4.10 Grass formation during silicon etch.

Grass formation is generally lower in smaller areas and is reduced by decreasing the

chamber pressure. Enclosing the etched area with packing pieces reduce the effective

etch area thus increasing the etchant density leading to reduced grassing. Decreasing the

APC angle or chamber pressure reduces grass formation. Increasing the platen power

decreases grass build-up by increasing the directionality and energy of the ions. Figure

4.11 shows reduction in grass formation with increasing platen power while keeping all

processing parameters constant. At 12W platen power the complete etch trench is

covered with grass (Figure 4.11a), increasing the power to 14W removes the grass from

the centre of the large trenches but still has grass near trench sidewalls. At 16W there is

no grass in the trench but has a grass like curtain at the sidewall which is completely

removed by increasing the platen power to 20W (Figure 4.11d).

133
Suspension: Fabrication

Figure 4.11 Reduced grass formation under increasing platen power, (a) 12W: trench is completely

covered with grass, (b) 14W: the centre of the trench bottom is clear but grass is still forming along

the sidewall, (c) 16W: clear trench bottom but the sidewalls have grass curtains, (d) 20W: the

trench bottom and the sidewall is etched cleanly without any grass formation.

4.5.2 Etch lag

Larger areas etch faster than smaller areas [4.21, 26] due to the presence of higher

etchant species density in the larger area, Figure 4.12(a). The etch rate variation

increases with etch depth as ions find it harder to go down narrow thin channels ending

up somewhere on the sidewall due to slight deviation from vertical directionality of the

ion, Figure 4.12(b). Trenches of similar dimension also have etch rate difference

depending on their location on the wafer. Areas close to wafer edge etch faster than

similar regions in the center of wafer.

134
Suspension: Fabrication

Figure 4.12 (a) SEM of etch cross section showing etch lag between small and large trench, (b) Etch

depth vs. channel width (all dimensions in μm) for etch 5 hours long using process parameters from

Table 4.4.

In through-wafer etching “etch lag” results in slower etch rates for smaller trenches

compared to larger trenches causing over-etching at the bottom of larger channels. In

SOI and through-wafer etching this detrimental artifact can lead to lateral silicon

etching at the trench bottom, also known as footing damage or notching.

Etch lag can be reduced by using halo mask technique to get a uniform etch area, thus

allowing the process designer to only develop a process for specific trench width.

Reducing the etch chamber pressure increases the mean free path of the ions allowing

them to reach deeper trenches. SF6 flow rate is seen to be the dominant process

parameter affecting RIE lag.

135
Suspension: Fabrication

Creating a process situation where the etchant density is optimized to be nearly similar

for both large and small area will reduce etch lag but generally gives rise to grass

formation in larger areas (Figure 4.13). This also requires process parameter ramping to

control the etchant density with depth.

Figure 4.13 Process parameters optimized to reduce etch lag lead to grass formation in larger width

trench while smaller trenches etch cleanly.

4.5.3 Loading effect

The loading effect is an interplay between the etch rate and the silicon exposure area. It

is generally further classified into macroloading and microloading effects. In

macroloading the effect leads to variation in etch rate for similar features at different

positions on the wafer, the effect is due to the dependence of reaction rate on etching

surface area [4.20]. There is better replenishment of etchant species towards the edge of

the wafer than at the center resulting in higher etch rate at the edge of the wafer than the

center. Due to macroloading the features on the edge of the wafer are overetched

compared to features in the center, to achieve through-wafer etch. The overetch is

demonstrated in a variation in sidewall roughness and profile shape.

136
Suspension: Fabrication

Microloading is the relationship between local etch rate and pattern density. Features in

high pattern density areas of a layout experience more competition for reactants leading

to a negative gradient in reactant flux, and to a slower etch rate. As we saw in section

4.3.2, designing a halo mask geometry reduces microloading by reducing the silicon

exposure disparity between larger and smaller etching regions.

4.5.4 Top edge cavitations

Another detrimental artifact common to DRIE is top edge damage or cavernous etch at

the top of the structure (Figure 4.14). This effect is particularly prominent when the

platen power is high. Experiments carried out at 12W platen power resulted in

extremely small top edge damage whereas increasing the platen power to 20W resulted

in significant damage to the top edge. The most probable cause of this artefact is

charging of the mask which deviates the ions off the vertical axis and into the sidewall

[4.31]. Exposing the sample to air after every hour of etch reduces the damage to the top

edge, which suggests charging of the mask as a possible reason for this damage.

Figure 4.14 SEM of top edge damage.

137
Suspension: Fabrication

4.5.5 Etch masking

Incomplete development of photoresist can lead to micro masking of silicon in the etch,

which creates oxford spires in the etch channel (Figure 4.15). The micro-masking may

lead to significant constriction of the etch channel resulting in a severe etch lag and

incomplete through-wafer etching in that location. This causes packing pieces to be

attached to the structures. Similarly, flaking of masking material or incomplete removal

of etch end products from the etch chamber, leads to these materials redepositing on the

wafer, masking further etching in that region.

To avoid micromasking due to incomplete photoresist development, an oxygen plasma

de-scum is used after developing the photoresist. The dominant process parameters

affecting micromasking are SF6 flow rate and chamber pressure. Increasing in SF6 flow

rate or pressure leads to micromasking due to incomplete removal of residue.

Figure 4.15 Micromasking in etch channel resulting in oxford spires.

4.5.6 Scalloping

Scalloping (Figure 4.16a) is a normal artifact produced by DRIE due to the time

multiplexing of etch and passivate cycles. The size of the scallop depends on the etch

cycle time and etch rate, the rms height of scalloping for a general processing conditions

138
Suspension: Fabrication

is in the range of 5-50 nm. Figure 4.16b shows an AFM scan of scalloping on the

trench sidewall giving an rms roughness of 8 nm for a process with 130 sccm SF6 flow

rate, 85 sccm C4F8 flow rate and a coil power of 600 W, platen power of 20 W and APC

of 78%. Generally faster etch rates will lead to larger scallops (Figure 4.16c). Scalloping

is not a major problem for most mechanical devices unless they need a mirror like

surface. Scalloping can be removed or reduced by either increasing the etch rate or by

performing a smoothing operation after the etch by oxidizing the surfaces and etching

the oxide back to give a smooth surface.

Figure 4.16 Scalloping (a) Scalloping on a sidewall, (b) AFM scan of top edge of sidewall shows

scallops (courtesy: Sanjay Vijendran), (c) SEM of large scalloping on trench sidewall suggesting

high etch rate.

4.5.7 Sidewall roughness

The quality of sidewalls resulting from through-wafer DRIE has a significant effect on

the quality factor of the suspension as well as the reliability of the device (as structures

with rough surfaces tend to have lower fracture strength). The sidewalls of the trench as

shown in Figure 4.17 show a surface whose roughness increases with increasing depth

of the trench. It becomes important to understand the process parameters affecting the

sidewall quality to control the roughness. To do so we analyze the sidewall quality by

fabricating test structures and observing them under SEM, interferometer and AFM. We

also look at the relationship between etch gap and sidewall quality.

139
Suspension: Fabrication

This work was done in collaboration and the full results are published in Pike et

al.[4.32].

Figure 4.17 SEM image of sidewall quality of 500 μm high sidewall. a,b,c show tapping mode AFM

scan of the top (a), middle (b) and bottom (c) of the trench sidewall. The roughness of the sidewall

increases from peak-to-peak 0.4 μm at the top of the trench sidewall to 0.7 μm at the middle of the

sidewall and 1.4 μm at the bottom of the sidewall.

140
Suspension: Fabrication

4.5.7.1 Test structure with variable trench width

Test structures consisting of a series of beams separated by laterally tapered trenches

were etched in 525-µm-thick Si (100) wafers (Figure 4.18). The etch pattern was

developed in a 15 µm resist mask and the wafer mounted on a Silicon backing wafer

using a thermal couplant (CoolGREASE, AI Technologies). The DRIE process

parameters are the same as in section 4.6.1.

Figure 4.18 Schematic of test structure showing region of interest (ROI). A tapered trench was

selected to examine sidewall surface quality with varying channel width. The individual structures

(beams) can be separated for ease of measurement.

After through-wafer etching, the beams were cleaved out of the structure to allow for

examination using SEM, AFM, and white-light interferometry.

The SEM is a LEO 1450 VP (Leica Electron Optics) operated at 30 kV, incorporating a

Energy Dispersive X-ray (EDX) spectrometer (Oxford Instruments) for elemental

analysis. A Nanosurf Easyscan AFM was used to image the sidewalls at selected points

along the etched beams, particularly the scalloped regions visible within 15 μm of the

141
Suspension: Fabrication

top surface. All measurements were done in dynamic mode AFM, with Silicon tips.

Scan areas were typically 50×50 μm, at scan speeds of 25 - 50 μm per second.

In order to examine the topography quantitatively over large areas, a scanning white-

light interferometer (ZYGO Newview 200) was used for non-contact mapping of the

etched surface.

4.5.7.2 Effect of trench width on sidewall roughness

Figure 4.19 Sidewall SEM of through-wafer deep reactive-ion etched beam. Zones of varying

sidewall morphology are labelled as: A. Overetched, B. Ion damaged, C. Overpassivated, and D.

Striations.

Figure 4.19 shows the sidewall from one side of a low-angle laterally tapering trench

etched completely through a 525-µm silicon wafer. The trench width in this image

varies from 40 µm to 300 µm, with a taper angle of 6°. Overall, the sidewall is

smoothest at the narrower end of the trench, although puncturing of the sidewall with

micrometer-sized holes is evident towards the bottom. No scalloping is seen at the

narrower end of the trench for the entire depth of the sidewall. As the trench widens to

greater than 100 µm, vertical striations increasingly emerge, resulting in a columnar

appearance for the lower portion of the sidewall. At wider trench width, areas of

complete sidewall breakdown occur from about 50 µm and higher. Optical profilometry

using white-light interferometry shows that at the narrower trench width, bowing of the

142
Suspension: Fabrication

sidewall occurs (Figure 4.20). A cross section of the sidewall shows that the reentrant

surface emerges towards the bottom. In contrast, for wider trenches, the profile is near

vertical although the roughness of the sidewall towards the bottom prevents

interferometric measurement.

Figure 4.20 Interferometric measurement of sidewall topography near narrow trench end. The ion

bombardment causes holes in the sidewall near the trench bottom where the re-entrant surface

emerges above the top edge.

For good-quality DRIE, etching and passivation should remain in balance at the

sidewalls throughout the etch, although etching should dominate at the trench floor. We

will analyze these results in terms of this balance. For the sidewalls, if etching

dominates, the sidewall polymer will be removed allowing subsequent Silicon etching

with the slow erosion of the initial scalloping. If passivation dominates, the polymer

layer will thicken with time, being thickest towards the top of the sidewall.

143
Suspension: Fabrication

The loss of scalloping of the sidewalls for the smaller trench width can be seen as a

result of over-etching. Bowing often accompanies such over-etching [4.33]. The major

problem for this portion of the sidewall is the damage towards the bottom of the

sidewall. Combining the SEM images of the distribution of this damage with the optical

profilometer data shows that the onset of damage occurs as the reentrant surface

emerges from under the top surface of the wafer. Low-angle SEM imaging shows these

holes are circular as viewed vertically downwards (Figure 4.21). The probable cause of

this damage is therefore low-angle ion-etching of the sidewall.

Figure 4.21 SEM picture showing the damage observed at narrow etch-gaps towards the trench

bottom caused by ion bombardment (Inset: zoomed, rotated view at a tilt angle of 85º) (courtesy:

Werner Karl).

144
Suspension: Fabrication

At larger trench widths, passivation dominates. Figure 4.22 shows in detail the buildup

of polymer at the top of the sidewall of the through-etched wafer. Energy dispersive X-

ray micro-analysis confirmed the fluorine content of this layer, Figure 4.23. The

scalloping is evident under the polymer, as is the onset of sidewall breakdown 100 µm

from the top. A portion of polymer has detached from the sidewall, showing that the

thicker passivation itself evolves into a non-uniform film. Sidewalls below passivation

breakdown show the onset of vertical striations.

Figure 4.22 Passivation build-up observed at wide etch-gaps leading to striations and therefore to

rough sidewalls.

145
Suspension: Fabrication

Figure 4.23 EDX analysis of the beam shows fluorine presence in the darker areas which were

charging up in the SEM, proving the hypothesis of CF2 deposition. (a) SEM image of the beam

section analysed for Fluorine content showing a higher concentration of carbon and fluorine at the

top darker region of SEM image than at the ligher part towards the bottom of the sidewall, (b)

Elemental map of the sidewall highlighting (red) the carbon and fluorine dominated regions, and

(c) Linescan from the top edge of the beam to the bottom edge showing the concentration of

fluorine, carbon and silicon along the etch direction.

Charging in the SEM, evident as pale borders at the polymer edges, is suggestive of a

potential cause for polymer-film breakdown in the DRIE: it is known that charging of

the polymer film disrupts the ion flux during the etch step [4.34]. Polymer buildup

disrupts the charging significantly leading to catastrophic failure of the lateral

uniformity of the process. Hence overpassivation rather than overetching results in

lower-quality sidewall.

146
Suspension: Fabrication

4.5.7.3 Effect of passivation breakdown on sidewall smoothness

The passivation layer consists of single-chain carbon difluoride polymer. As the carbon-

carbon and carbon-fluorine bonds are two of the strongest bonds in organic chemistry,

considerable energy is required to either grow or shorten the polymer chains. For

growth, a combination of ions and fluorine radicals is required to dislodge a fluorine

atom from the chain. CF2 radicals, essentially PTFE monomers, can then add to the

chain, with the final addition of a terminating fluorine radical [4.30]. For reduction of

the chain, the process is reversed, and the polymer is etched. High-energy vertically-

directed ions are present during the etching steps, while during passivation, when the

accelerating voltage is zero, lower-energy ions are scattered isotropically from the

plasma. The equilibrium position of the growth/etch reaction is determined by the

incoming flux of CF2 radicals and ions.

At the floor of the trench, there will be an incoming flux of CF2 radicals during the

passivation step. Before any silicon etching can take place during the etching step all the

polymer deposited on the floor during the passivation step must be removed, again as

CF2 radicals. Redeposition of the polymer from the trench bottom to the sidewalls has

been identified as a substantial contribution to sidewall passivation in previous work

[4.35-39]. Analyses and experimental data have indicated that the incoming flux of CF2

radicals as etching products vary at different heights of the trench sidewall [4.39] and

there will be a reduced, lower-incident-angle flux of CF2 radicals towards the top of the

sidewalls and less redeposition of polymer with increasing trench depth.

This provides an explanation for etching of the passivation towards the top of the

sidewalls at narrower trench widths. The flux of redeposited CF2 is reduced as the

147
Suspension: Fabrication

trench is etched, causing the polymer thickness deposited on the sidewall over an entire

cycle to be less than the thickness etched, inevitably leading to eventual overetching of

the sidewall. Overpassivation of the sidewalls at wider channel widths can be explained

by the increased flux of CF2 radicals from the broader floor of the trench as more

material is etched from the floors of the wider trench and wider range of deposition

angle is available for the sputtered CF2 radicals. The passivation in this case grows more

than it is etched during each cycle, causing build-up of passivation beyond a critical

level for uniform coverage.

Although redeposition of etched passivation provides an explanation consistent with

observations, other effects could contribute. During the passivation step, the flux of

activating ions at sidewalls might be expected to drop with trench depth. However, the

flux at the top of the sidewalls would be the same for all trench widths, whereas a

transition from overetching to overpassivation is observed for wider trenches. Therefore

ion-flux variations during the DRIE cycles are unlikely to provide a complete

explanation.

4.5.7.4 Sidewall smoothness

The sidewall quality of through-wafer DRIE structures is very dependent on the aspect

ratio of the etched channels. Below about 100 µm etch width, sidewalls tend to be

smooth, albeit overetched, with some bowing leading to ion damage towards the bottom

of the sidewalls. For wider channels, buildup of passivation leads to an eventual

breakdown in polymer coverage, causing vertical striations and a very rough surface.

This behaviour is analysed as a transition to overpassivation for increased channel

width, and a transition to overetching with etch depth for the narrower channels.

148
Suspension: Fabrication

Redeposition of passivation from the trench floor during the etch step is suggested as an

explanation in both these regimes.

As the aspect ratio of the trenches changes during etching, no single set of process

parameters can be expected to optimize the balance between sidewall passivation and

etch for a through-wafer etch. Ramping of parameters may be one route to improved

sidewall quality.

4.5.8 Etch profile evolution

In DRIE, the profile of the etched structure is controlled by successively varying the

etching and passivation to achieve highly anisotropic features. As shown in Figure 4.24

the etch trench can be either sloping inwards (narrower at bottom than at top), which is

characterized as negative profile, or sloping outwards (positive profile), or it can have a

bow (the middle section is etched more laterally than the top or bottom).

(a) (b) (c)


Figure 4.24 Profile shape of etched trench for various processing conditions in DRIE. (a) Negative

profile, (b) Positive profile, (c) Bowed profile.

An ability to understand, measure and tailor profile shapes is extremely important from

a mechanical standpoint as well as when the structure is used for devices with a strict

profile shape requirement. For example, a deviation from the ideal vertical profile leads

to a variation in the natural frequency of the lateral suspension (see section 5.3).

149
Suspension: Fabrication

The profile evolving with time is dependent on the charge accumulation, ion energy

distribution and etchant/passivant ratio in various parts of the trench, which are

influenced by the incumbent etching conditions.

Channel profile and bowing has been discussed in Chen et al. [4.26] and Ayon et al.

[4.40] and ways to control anisotropy and profile have been discussed. Singh et al.

[4.41] presents a model for profile simulation in reactive ion etching. Previous works

[4.9, 42] have mostly focused on how to achieve high anisotropy and control profile and

reduce bowing, but as we present here, bowing can be a boon for some applications, and

a better control and understanding of its mechanical significance and understanding of

the process which causes it, will help designers use various profile shapes and bowing

to their advantage. The effect of process conditions on DRIE etch shape is discussed.

4.5.8.1 Reason for etch profile

The relative density of etching and passivating species in each cycle decides the profile

of the trench sidewalls. Etching and passivating time ratio, process cycle time, chamber

pressure, SF6 and C4F8 gas flow rate, coil power, and platen power affects the density of

etching and passivating species in each cycle. The cause for the various profile shapes

are:

Negative/Reentrant profile: A longer passivate cycle with respect to etching cycle

results in non-complete removal of passivation from the bottom of the trench resulting

in narrowing of the trench, Figure 4.24(a). This leads to a thicker beam cross section

with depth of the etch. Chen et al. [4.26] mentions a shorter etch cycle leading to

150
Suspension: Fabrication

insufficient removal of passivating film, producing significant surface roughness and

other deleterious artifacts like micromasking and grass formation.

Positive profile: When the etch cycle is longer than the passivation cycle, the

passivation is removed from the sidewall of the trench (towards the bottom of the

trench) leading to a wider trench bottom as the etch progresses, Figure 4.24(b).

Bowing: Removal of passivation coating from the trench sidewall faster than it is

formed causes and aggravates bowing, Figure 4.24(c). Ishihara [4.9], Sun [4.42] show

bowing to be caused by charging of SiO2 mask or a buried layer. In our HAR etching,

we have seen bowing when using photoresist as a mask and the bowing is quite

symmetric with a thin neck and wider top and bottom. Having a SiO2 mask helps

preserve the pattern size as photoresist is prone to edge erosion which changes the

effective masked area to the etching species. Lii [4.37] investigated the bowing effect in

a silicon trench under plasma etching and proposes two reasons for the undercutting of

the sidewall: (a) etching by divergent ions scattered by ion-molecule collisions in the

sheath, and (b) another mechanism involving the scattering of ions from the edge of the

mask. Lii also shows that redeposition of passivation polymer during etching cycle

plays an important role in profile evolution.

SF6 plasma consists of positive and negatively charged ions, radicals and atoms

(equation 4.1). The etching mechanisms are considered to be independent of each other

but Lii [4.37] demonstrates an amplification in reaction between ions and reactive

neutral radicals as the trench depth increases. The concentration of radicals is at least

two orders of magnitude higher than ions and the radical flux (~1019 cm-2s-1) is also

151
Suspension: Fabrication

much higher than the ion flux (~1015 cm-2s-1)[4.5]. This could have a very prominent

effect on the bowing of the sidewall as we notice an increase in the isotropic etch with

increased etch depth. At about the middle of the wafer thickness the etching starts

lagging behind the passivation and the profile starts turning negative or reentrant.

Chang et al. [4.43] discuss surface potential buildup due to flux imbalance of isotropic

electrons and anisotropic ions leading to lateral etching of the sidewall (Figure 4.25).

Figure 4.25 Surface potential build-up due to flux imbalance of isotropic electrons and anisotropic

ions leading to lateral etching of the trench sidewalls.

Due to the isotropic nature of the electron and negative ions in the plasma, the top

surface of the sidewall has more negative charge which deflects the positive ions

laterally towards the sidewall causing lateral etching.

Most of the analysis of bowing in the literature has been for shallow trenches and SOI,

so there is not a coherent theory that provides a complete explanation for the top edge

152
Suspension: Fabrication

becoming positive, then a maximum undercut causing necking and then the trench

developing a negative slope resulting in a narrower bottom.

4.5.8.2 Effect of process parameters on etch profile

APC angle or Chamber pressure: Chamber pressure has a strong effect on the etch

profile. With higher APC angle, the trench gets narrower with depth; decreasing the

APC angle improves the profile which converges towards vertical sidewalls, and

reducing the pressure further leads to a wider trench bottom. At the same APC angle,

larger areas etch to a wider floor than top, which could be due to an effective increase in

etchant density at the floor of a large trench than a smaller trench at the same pressure.

Etch/Passivate ratio: Increasing the etch cycle compared to the passivation cycle leads

to an increase in the isotropic nature of the etch which leads to a higher bowing of the

profile. It also results in a wider trench bottom than a similar etch with lower

etch/passivation ratio.

Platen Power: Platen power defines the energy of the ions, higher platen power

accelerates the ions faster vertically leading to better profile control as a significant

portion of bowing is attributed to the non-verticality of ions. The side-effect of high

power ions is poor surface quality of the sidewalls.

4.5.8.3 Bowing of profile in deep etch

For tall structures such as features created by through-wafer etching, the process

parameters were selected to avoid grass formation in the etch trench, which means the

process is etch dominant. During the run the process is etch dominant as is evident from

etching of larger trenches which tend to widen out towards the bottom.

153
Suspension: Fabrication

Towards the start of the process, the trenches tend to have a wider bottom than top due

to the process being etch dominant but after some depth the passivation polymer

removed from the trench bottom is not completely removed from the trench which leads

to it depositing back on the sidewall. As the trench etch depth increases more

redeposition occurs on the sidewall thus shadowing the corner of the trench bottom

from etch, this ultimately leads to a narrowing of the trench.

As the trench etch depth increases the etchant density starts reducing, and ultimately the

overall process for that trench may turn from etch dominant to passivation dominated,

which will also cause narrowing of the trench as the etch depth becomes large.

4.5.8.4 Advantages of bowed profile

A bowed profile can be advantageous where a large surface area is needed on the top of

the feature for traces to run but the effect of bowing needs to be compensated to achieve

the mechanical performance target (see section 5.3). Due to bowing the effective

thickness of the beam will be much lower than for the ideal rectangular profile case. In

the case of lateral suspension, the profile bowing is incorporated in the design to create

springs with lower spring stiffness but larger top surface width. The larger top surface

width provides more leeway for the metal traces to be thicker and further apart thus

reducing processing complexity arising from a smaller feature size.

4.5.9 Notching

In through-wafer silicon etching using high-density low-pressure inductively coupled

plasma, a notching (or footing) effect occurs towards the end of the etch at the bottom

silicon-insulator interface. Such an interface will be present as the etched silicon wafer

154
Suspension: Fabrication

will have either an oxide layer to avoid break through of the plasma or the wafer will be

bonded to a handle wafer using either photoresist or other adhesives. Notching damage

at the foot of micro-structures can lead to significant degradation of their mechanical

performance and is unacceptable particularly when an optically flat surface is needed.

A method to avoid notching, by eliminating charge buildup, through the use of a

backside thin metal backside coating is developed.

4.5.9.1 Theoretical background on notching

In HDLP (high-density low-pressure) plasma processes like DRIE, surface charging is

always a concern towards the end of a through-wafer etch at the bottom of the

semiconductor-insulator interface [4.44, 45]. Without any modification to the process,

surface charging can produce a final lateral undercut at this interface, Figure 4.26.

Figure 4.26 Notching damage at the bottom of a through-wafer etched feature. The wafer was

bonded to a handle wafer using photoresist.

Due to the directional nature of the positive ions, a substantial proportion will travel to

the foot of the trench where they positively charge the insulator layer. In contrast,

electrons, due to their isotropic directionality, impinge on the top surface of the wafer

but cannot get to the bottom of a high aspect ratio trench to neutralize any positive

155
Suspension: Fabrication

charge build-up in the insulator layer. The positively charged insulator surface then

deflects the ions towards the sidewall causing a lateral etch (Figure 4.27).

Figure 4.27 Charge distribution in deep trench and positive charge accumulation at Silicon-

insulator interface resulting in lateral undercutting at the trench foot (notching).

4.5.9.2 Avoiding notching

Plasma tools and process conditions have been modified in the past to alleviate and

remove notching. Previous works have focused on ways to engineer the ion distribution

in order to reduce the charge accumulation or increase the ion directionality. Some of

the mechanisms proposed are low temperature etching [4.2], increasing bias voltage to

reduce ion deflection, decreasing chamber pressure [4.5] to improve ion directionality,

increasing the electron irradiance angle to decrease the potential buildup [4.46] and

pulsed plasma etching [4.47]. Most of these mechanisms have been demonstrated to be

effective for shallow etching. Except for pulsed plasma etching, these techniques are not

156
Suspension: Fabrication

directly applicable to etching hundreds of micrometres of silicon. All these techniques

generally reduce the notching, but cannot completely eliminate it.

Surface Technology Systems (STS) has adapted the pulsed plasma etching for its time-

multiplexed inductively coupled plasma etcher to reduce notching in SOI etching.

Running the plasma at low frequency generates more low energy low directionality ions

which neutralize the charge build-up at the top of the trench. Reducing the negative

potential at the top of the trench facilitates more electrons into the trench alleviating the

positive charge build-up at the bottom of the trench. This technique has been

successfully demonstrated to reduce notching in SOI etches [4.44]. The major limitation

is the need for an endpoint detection to stop the normal etch mode and switch to the

pulsed plasma etching mode.

Changing the ion angular distribution also affects the etch rate, anisotropy, etch profile

evolution and mask selectivity. Methods employing ion distribution engineering are

dependent on the aspect ratio (RIE lag), pattern factor (silicon exposure), and plasma

uniformity. An ideal method for eliminating notching would be design independent, so

that no further process modifications are required.

Hence an alternative that has been explored is the inclusion of a conductive layer which

can form a path to neutralize the positive ions at the end of the etch. Fransilla et al

[4.48], Rasmussen et al [4.49] have used a metal coating on the backside to work both

as an etch stop and to eliminate notching. Chabloz et al. [4.50] and Kim and Kim [4.51]

demonstrated using a metal layer on a glass wafer anodically bonded to the backside of

the device wafer to reduce notching in silicon structures.

157
Suspension: Fabrication

We examine the use of metal coating on wafer backside as a method to avoid notching

in through-wafer silicon etching [4.52]. This is achieved by changing the process flow

so that the root cause of charging at the silicon-insulator interface is eliminated. By

creating a silicon-conductor interface the charge is allowed to dissipate into a metal

layer. The silicon-conductor interface is realised by deposition of a thin metal layer on

the wafer backside. The etch rate and etch characteristics are not affected, as the process

parameters remain unchanged and the etching is done while operating the plasma at

high frequency (HF).

4.5.9.3 Experimental Setup

Test structures to quantify notching were fabricated by through-wafer DRIE etching

silicon wafers. The process flow schematic is shown in Figure 4.28. The silicon wafers

are 525 μm thick. The silicon wafer was coated with a thin backside metal layer using

either an evaporator or sputterer. The front side of the wafers was coated with a 9 μm

thick AZ 9260 photoresist mask. The wafer was etched for 3 hours and then attached to

a silicon handle wafer using thin Shipley S-1813 resist. Subsequently it was etched until

the trenches were visibly clear. The wafer was then overetched for an additional 15

minutes to assure a complete through-wafer etch across the entire wafer.

To investigate the mode of charge dissipation which eliminates notching at the bottom

of through-wafer etched trenches, various experiments were designed.

158
Suspension: Fabrication

Figure 4.28 Process flow schematic for through-wafer etching using a metal backside coating to

eliminate notching.

4.5.9.4 Metal coating directly on etched wafer backside

Figure 4.29 Schematic of etch setup with metal coating directly on the wafer backside and then

bonded to a handle wafer.

Notching was compared for two silicon wafers etched using identical DRIE etch

parameters. One wafer had no backside metal layer; the other wafer had an Aluminum

(Al) backside metal layer as shown in Figure 4.29. The silicon wafers were then bonded

to a handle wafer using photoresist. The wafer without any metal coating had extensive

notching as seen in Figure 4.30a whereas the wafer with Aluminium coating on the

backside showed no signs of notching (Figure 4.30b) after the etch. This experiment

159
Suspension: Fabrication

demonstrated that by using the metal directly on device wafer backside one can

completely eliminate the notching effect.

Figure 4.30 (a) Notching damage observed at the foot of a through-wafer etched structure; the

wafer was bonded to a handle wafer using thin photoresist. (b) The same structure on a wafer with

a thin metal coating on the backside; no damage at the foot of the sidewall is visible.

Similar notching damage was encountered for silicon wafers without backside metal

layers bonded to the handle wafer using thermally conductive pastes such as cool-

grease. Both photoresist and cool-grease are electrically insulating. It was observed that

for a thermally insulating adhesive (like photoresist), the damage was more extensive.

Trenches with similar dimensions were etched in a silicon wafer with and without

backside metal coating. The wafer without metal coating showed extensive lateral

etching at the etch foot, Figure 4.31a. The same trench shows a much cleaner surface

towards the bottom of the wafer, if a thin aluminium backside layer is used, Figure

4.31b.

160
Suspension: Fabrication

Figure 4.31 Backside of an etched wafer. (a) Etched trenches with no backside metal coating,

showing extensive notching. (b) Etched trenches with backside metal coating, showing no visible

notching.

The absence of an insulator interface eliminates any charge accumulation at the foot of

the trench. During overetching of the structures which is necessary to compensate for

etch lag, the positive charges of the reactive ions impinging on the metal layer are

neutralized. This is evident from no observable silicon damage due to a deviation of the

ion trajectory towards the sidewall, Figure 4.32.

Figure 4.32 Charge dissipation at metal surface in a silicon trench with a metal coating on the

wafer backside.

161
Suspension: Fabrication

4.5.9.5 Metal layer on handle wafer

Figure 4.33 (a) Schematic of test setup with metal coated handle wafer glued to the device wafer at

the outside edges, (b) Schematic of the test setup with silicon handle wafer bonded to the device

wafer at the outside edge using photoresist.

To investigate whether the metal layer needs to be connected to the device wafer to

avoid notching, the handle wafer was coated with metal and the device wafer bonded to

it using photoresist patterned in a periphery-ring (Figure 4.33). Once the etch proceeds

through the wafer thickness the handle wafer’s metal layer is exposed.

We observed no notching at the bottom of the structures. This leads us to believe that

charges from any impinging ions dissipated through the metal layer into the handle

wafer. In another setup the device wafer was attached to a silicon handle wafer with

photoresist patterned in a periphery-ring. Notching was eliminated in this case as well.

This method would be suitable for cases where metal exposure to plasma is prohibited.

4.5.9.6 Floating metal layer

Figure 4.34 Schematic of experimental setup, (a) metal layer connected to the plasma at edges, (b)

metal layer completely isolated.

162
Suspension: Fabrication

To investigate whether a metal layer not connected to either the device or handle silicon

wafer is sufficient to eliminate notching, a metal coated oxidized silicon handle wafer is

bonded to the device wafer using an adhesive (Figure 4.34).

When the metal layer was extending all the way to the wafer edge and exposed to some

plasma at the edges, we did not see any significant notching but there was some

evidence of isotropic etching of the bottom surface of the structures.

To clarify whether connectivity of the metal to plasma is sufficient to reduce notching

we patterned the metal layer on the handle in a circle smaller that the wafer so it is

completely inscribed inside the handle wafer. In this case we saw notching damage of

the structures (Figure 4.35). This leads us to believe that connectivity of metal layer to

plasma/silicon wafer is important.

Figure 4.35 (a) Damage due to partially floating metal layer, (b) Notching damage due to

completely floating metal layer.

163
Suspension: Fabrication

4.5.9.7 Electroplated metal for dry release

Figure 4.36 Schematic of test setup with electroplated metal on device wafer backside.

To eliminate the need for a handle wafer, we electroplated nickel on a Cr/Au seed layer

to 5µm thickness (Figure 4.36). This was sufficient to act as a mechanically strong layer

to constraint any of the structures released on completion of etch. The method also

avoids any possible thermal sinking problem caused by using a thermally-insulating

adhesive. The process is cleaner and more economical as it avoids the wastage of

another silicon wafer (handle wafer).

Additionally we can dry release the structures by peeling off the metal layer as shown in

Figure 4.37.

Figure 4.37 Optical image of dry release process by peeling the metal layer from the wafer

backside.

164
Suspension: Fabrication

4.5.9.8 Other metals besides Aluminium

Various backside metals like Cr [4.50], Ti/Au [4.51], Cr/Cu, Cr/Ni, Cr/Au, Al have

been tested, with identical results. Aluminium has the advantage of being easy to

remove using any alkaline solution such as photoresist developer. Unless used as a

mechanical layer to constraint island geometries falling out upon completion of etch, it

is not critical for the metal layer to adhere well to the backside of the device wafer.

4.5.9.9 Pulsed plasma mode for notching reduction

Pulsed plasma mode was investigated to quantify the effect of low-frequency (LF)

etching conditions on the profile shape of the structures.

Figure 4.38 SEM image of trench profile etched for 30 minutes using (a) LF plasma mode showing

thinning down of the structures towards the bottom as compared to, (b) HF plasma mode which

maintains profile anisotropy better and (c) scallop size for LF mode is 260 nm × 818 nm compared

to, (d) HF mode scalloping which is 217 nm × 770 nm.

165
Suspension: Fabrication

We used the process parameters optimized for through-wafer etching in HF mode and

switched the process to LF mode to investigate the effect of LF on the etching

characteristics. Even though the etch rate was identical for both LF and HF etching at 3

µm/min, the profile shape of the etched trench at LF is markedly diverging compared to

HF plasma (Figure 4.38a,b). This is due to an increase in isotropic etching in each etch

cycle as seen by the increase in the size of the scalloping during LF compared to HF

(Figure 4.38c,d). So LF mode etching is not a quick solution to reducing notching and

requires an overall optimization of process parameters to get good results.

4.5.9.10 Thermal sinking problem

Figure 4.39 Schematic of experimental setup to investigate effect of good thermal contact between

the device and handle wafer, (a) Wafer bonded on the periphery such that when the structures are

etched through-wafer, the structures are thermally isolated from the handle wafer, and (b) the

device wafer is completely bonded to the handle wafer allowing the isolated structures thermal

connectivity to the handle wafer.

To achieve repeatable etch conditions a stable control of the wafer temperature is most

important. Photoresist and wax have low thermal conductance, so other temporary

bonding agents such as cool-grease [4.53] with high thermal conductivity were

investigated for attaching the handle wafer. In another experiment, the backside metal

coating itself was electroplated. The plated metal was chosen to be a few micrometres

thick in order to create a mechanically stable layer, reducing the need for a separate

166
Suspension: Fabrication

handle wafer. A qualitative comparison of the various examined conditions is presented

in Table 4.2.

In cases where the complete wafer is bonded to the handle wafer using a thin layer of

photoresist, the thermal sinking seems to be sufficient to achieve repeatable etching. For

cases where the device wafer was bonded to the handle by a thin photoresist periphery-

ring, we saw photoresist burn (Figure 4.40) which is indicative of bad thermal path

between the device and chuck. Improper thermal sinking of the wafer causes the

temperature of the wafer to rise to almost 150 C within 5 minutes causing the resist to

be less resilient to the plasma leading to fast degradation appearing as a burnt (grey)

layer. Once the resist is burnt, the plasma attacks the silicon.

Figure 4.40 (a) Optical image of burnt photoresist due to thermal sinking problem – the reflective

surface shows normal photoresist, (b) photoresist is burnt in this case on the proof-mass which has

bad thermal connectivity through the long springs – the grey non-reflective surface is burnt resist.

167
Suspension: Fabrication

4.5.9.11 Analysis of methods for avoiding notching

The effect of notching in through-wafer silicon DRIE has been discussed. Charging of

an insulator layer is believed to be the root cause for a lateral undercut observed at the

silicon-insulator interface. Coating the backside of the silicon wafer with a thin metal

layer completely eliminates this notching effect. In the presented case an evaporated

aluminium layer was successfully used. Ultimately the choice of metal to prevent

notching will depend on its compatibility with an existing process flow.

Compared to other previously presented techniques used to reduce notching, which are

mainly based on tweaking the actual DRIE etch parameters, the method presented here

is independent of the chosen DRIE settings. This is a very important difference, as it

allows the uncompromised optimization of the DRIE process avoiding the danger of

introducing notching. With the backside metal layer the notching is completely

eliminated and is no longer dependent on the aspect ratio or etch pattern.

4.6 Process Engineering

Table 4.3 presents the trends in silicon etch rate, sidewall smoothness, trench profile

control, across wafer uniformity, grassing and etch lag for the various parameters of the

DRIE process. The effect of increase or decrease in the level of the process parameter is

assumed to be from an etchant rich environment. For passivation dominant conditions

the effects of some of the parameters would be very different. A case in point is the

SF6:C4F8 flow rates, for etchant dominant conditions, the sidewall smoothness

deteriorates if the etchant concentrations are increased by increasing the gas flow ratio,

but if it is a passivation dominant environment, an increase in the etchant condition will

168
Suspension: Fabrication

lessen the chances of a passivation deposition and breakdown on the sidewall thus

improving the sidewall quality.

Table 4.3 Effect of process parameters on the etch characteristics.

Etch effect → Change Silicon Sidewall Profile Etch Photoresist Etch


Parameter ↓ etch rate quality shape uniformity etch rate lag

Etch:Passivate ↑ ↑↑ ↓ ↑ ↓ ↑ ↑

SF6:C4F8 flow ↑ ↑↑ ↓ ↑ ↑↑ ↑ ↓↓

Cycle time ↑ ↑↑ ↓ ↑ ↓ ↑ ↑

APC ↓ ↑ ↑↑ ↑↑ ↑ ↑ ↓

Coil power ↑ ↑ ↑ ↑ ↑ ↑ ↓

Platen power ↑ ↑ ↑ ↑↑ ↑ ↑↑ ↓
The arrow ↑ shows the increase and ↓ shows decrease. Double arrow ↑↑ show the

dominant process parameter for that specific etch characteristics. Profile shape refers to

the profile anisotropy and an increase in it means an increase in the verticality of the

sidewall.

For a through-wafer etch using a halo mask, etch lag is not a concern as the trench width

is constant across the features. Similarly, etch uniformity across the wafer is not a

critical effect as the trenches can be over-etched at the edges of the wafers, the side-

effect of the over-etch is increased notching of structures at the wafer edge, but this has

been completely eliminated through the application of a metal layer at the backside of

the wafer. Due to the availability of thicker photoresist it is not critical to tune the

process parameters to improve photoresist selectivity; platen power is the dominant

process parameter which affects the selectivity.

169
Suspension: Fabrication

This effectively reduces the process engineering to finding the right combination of

process parameters which affect the silicon etch rate, sidewall quality, and profile shape

in the desired way for a given silicon exposure area. It is an iterative process and

generally there is sufficient process window to change one parameter to affect a certain

etch characteristic without worsening any other etch characteristics. For etchant

dominant conditions, both sidewall quality and verticality of the profile shape are

strongly dependent on the chamber pressure. Reducing the chamber pressure improves

both the sidewall quality and makes the profile shape vertical; this is due to the

increased directionality of the ions.

After considering the various issues with DRIE and multiple iterations of parameters to

achieve the desired etch characteristics, we have developed a process recipe for etching

525 μm silicon wafer with etch gaps of 40 μm.

170
Suspension: Fabrication

4.6.1 Process description

A process recipe (Table 4.4) has been developed to achieve through-wafer etching

without parameter ramping and with an overall silicon etch rate of 2 μm/minute. The

process flow is as shown in Figure 4.28. The complete etch is divided into two parts, the

first run etches the wafer without handle wafer then the device wafer is mounted on a

handle wafer. The second run etches the wafer through the full thickness.

Table 4.4 Etch Parameters for through-wafer etching.

Parameter Value

Total cycle time 17 second

Etch:Passivation step time 10:7

SF6 flow rate (etch step) 130 sccm

O2 flow rate (etch step) 13 sccm

C4F8 flow rate (passivation step) 85 sccm

Platten power (etch step) 20 Watt

Platten power (passivation step) 0 Watt

Coil Power (etch and passivation step) 600 Watt

Pressure (etch step) 20 mTorr

Pressure (passivation step) 11 mTorr

APC Angle (etch and passivation step) 74%

Etch rate 2.04 μm/min

Etch:Passivation ratio 1.375

SF6:C4F8 flow rate ratio 1.53

171
Suspension: Fabrication

4.6.2 Fabricated devices

The mask was designed using Nemetschek Vectorworks [4.54] and laser printed by

Delta Mask [4.55] with a resolution of 1.5 μm linewidth and 0.2 μm address grid. The

mask design (Figure 4.41) included suspension designs for horizontal (Figure 4.42) and

Galperin configuration (Figure 4.43).

Figure 4.41 Full wafer mask design included 20×20 mm dies as well as 10×10 mm and 5×5 mm dies

with lateral suspensions.

The {100} single crystal silicon wafer was aligned at 45 to the mask plate to enable

(100) plane along the suspension compliant direction. (100) plane of silicon crystal has

the lowest Young’s modulus [4.56] which reduces the stiffness of the spring in the

compliant direction thus lowering the resonant frequency of the suspension.

172
Suspension: Fabrication

Figure 4.42 Mask layout of the horizontal lateral suspension, the inset shows the spring connector

and the linkages with the filleting along with the packing pieces.

Figure 4.43 Mask layout of the Galperin configuration lateral suspension, the insets show the

spring and linkage along with the packing pieces.

173
Suspension: Fabrication

A n-type {100} silicon wafer is used as substrate wafer, 200 nm thick oxide was grown

in a dry thermal furnace. The oxide on one side of the wafer is reactive ion etched using

CHF3 plasma, this side is henceforth referred as the backside of the wafer. A 200 nm

layer of Aluminium is evaporated on the backside in a thermal evaporator. A 9 μm thick

AZ9260 photoresist is spin-coated on the wafer frontside, pre-baked at 110°C and

lithographically patterned using a mask aligner. The photoresist is then developed in

AZ400K developer. The underlying 200 nm oxide on the frontside of the wafer is then

etched using CHF3 plasma in RIE. The wafer is loaded into the STS ICP DRIE etcher to

etch for 3 hours using the process parameters mentioned in Table 4.4. The process flow

is shown in Figure 4.28. The complete recipes for the through-wafer etch is presented in

Appendix C. After the first etch the wafer was unloaded and bonded onto a silicon

handle wafer using a thin photoresist. The wafer was then etched for another 1 hour 20

minutes in the STS ICP DRIE etcher. Upon visual confirmation of the completion of the

etch – by noticing the bright Al layer at the bottom of the trench, the wafers were

unloaded and the handle wafer was released using acetone. The backside Al coating was

stripped in MF219 developer. The dies were separated by breaking the tabs.

Figure 4.44 shows a fully functional lateral suspension, various configurations were

designed and fabricated. The suspension shown in Figure 4.44 has a set of six springs

and three intermediate frames. Tabs were used to keep the devices together while

etching the wafer; the remnants of the tab are visible alongside the die. A silicon lateral

suspension with reduced mass frame and springs with cross-bars is shown in Figure

4.46, the close-up shows the sidewall of the spring end connector. All etch gaps were 40

174
Suspension: Fabrication

μm wide including the rectangular cavities etched in the intermediate frames to reduce

mass.

Figure 4.44 Silicon lateral suspension with six sets of springs and three intermediate frames

fabricated by DRIE.

Figure 4.45 Close-up of silicon lateral suspension with reduced mass frame and spring with cross-

bar.

175
Suspension: Fabrication

Figure 4.46 shows a SEM micrograph of the spring beam sidewall. The sidewall

smoothness varies from 8 nm rms roughness at the top edge to nearly 1 μm rms

roughness at the bottom edge. The rough portion of the sidewall starts at approximately

a quarter way through the etch which is around the time when the lateral etch starts

increasing as seen in the profile SEM (Figure 4.47a).

Figure 4.46 Close-up of spring sidewall.

The profile SEM shows bowing of the spring beam (Figure 4.47a), intermediate frame

(Figure 4.47b), and the trench cross-section (Figure 4.47c). We will investigate the

effect of bowing on the dynamics of the suspension in next chapter. An advantage of the

shape of the bowed profile is the higher rigidity in the z direction while reducing the

rigidity in the x direction. The bowed shape also leads to a lower mass of the beam

compared to a rectangular profile.

176
Suspension: Fabrication

Figure 4.47 Etch profile shape, (a) spring beam cross-section showing an etch profile which is

slightly bowed towards the centre, and (b) the profile shape of a cross-section of an intermediate

frame showing a similar bow like the spring beam.

Figure 4.48 SEM of a silicon lateral suspension within a 5 mm×5 mm die.

177
Suspension: Fabrication

As we mentioned in Figure 4.41, the mask contains a silicon suspension fabricated

within 10 mm × 10 mm and 5 mm × 5 mm die. Figure 4.48 shows a 5 mm × 5 mm

lateral suspension die. The gaps between the springs are 40 μm, thus eliminating the

need for spacers.

4.7 Summary

DRIE based on Bosch time-multiplexed etching and passivation cycles was selected as

the processing technique for fabricating thick suspensions by through-wafer etching of

single crystal silicon wafer.

The etch trenches are designed with uniform width across the wafer to enable etch

uniformity, so the features on the wafer are enclosed within a “halo” geometry.

Through-wafer etching necessitates either a handle wafer or a protective layer to

safeguard the substrate holder from exposure to plasma and also to restraint the packing

pieces of “halo” geometries from falling in the process chamber. Various bonding

techniques are tried and the suggested technique is to coat the backside of the device

wafer with metal and then bonded to a handle wafer using thin photoresist.

Plasma processes suffer from a range of deleterious artifacts like grassing, top edge

cavernous damage, micro-masking, sidewall roughness, profile shape and notching,

which were discussed in detail. Various process and design modifications are

incorporated to reduce or eliminate the deleterious side effects of DRIE. Grassing and

micro-masking are completely removed by increasing the platen power and decreasing

178
Suspension: Fabrication

the processing pressure and introducing O2 plasma de-scum prior to silicon etch.

Scalloping is a side-effect of the time-multiplexed etching and passivation cycle and is

reduced by decreasing the etch cycle time.

Sidewall roughness is analyzed in detail and re-deposition of polymers as a reason for

increased roughness with increasing channel width is proposed and validated through

experimental results. Profile shape is shown to be an effect of sidewall charging and ion

angular distribution; decreasing the chamber pressure increased the anisotropy of the

etch leading to improved verticality of the trench sidewalls. Notching caused due to

charge accumulation at the silicon-insulator interface is successfully eliminated by

coating the wafer backside with a thin metal layer.

A process recipe is developed to attain the desired sidewall quality and etch profile

shape. A variety of suspensions were fabricated with varying spring and frame designs.

The sidewalls vary in roughness from 8 nm RMS to 1 μm RMS. The profile shape of

the spring beams is nearly vertical with some bowing midway through the thickness.

All work covered in this chapter was carried out by the author alone with the exception

of sidewall characterization which was done in collaboration.

4.8 Conclusion

The ability to micromachine thick structures out of single crystal silicon using DRIE is

successfully demonstrated. This is possible by controlling the process parameters to

achieve the desired etch characteristics while reducing or eliminating the deleterious

artifacts of plasma processing.

179
Suspension: Fabrication

For through-wafer etching the important characteristics to optimize the process were the

sidewall quality and profile shape. By engineering a set of process conditions which

related the sidewall quality to the etch trench width, we are able to find a suitable etch

width for reduced sidewall roughness and silicon etch rates exceeding 2 μm/min.

Notching, which can significantly ill-affect the mechanical characteristics of the device,

is completely eliminated by a proposed scheme to coat the wafer backside with a thin

metal layer; this also improved the thermal uniformity across the wafer while etching,

improving the sidewall quality.

Through-wafer DRIE is demonstrated as a reliable and repeatable process for creating

silicon suspensions where the suspension is a vertical extrusion of the 2D design. The

developed process can be used to fabricate other high-aspect ratio through-wafer silicon

micro-mechanical structures.

The next chapter looks at the experimental determination of the suspension dynamics

and analyzes the effects of the fabrication process on the suspensions.

180
Suspension: Fabrication

4.9 Bibliography

[4.1] A. Schilp and F. Laermer, Method of anisotropically etching silicon, US Patent


US5501893: Robert Bosch GmbH, March 26, 1996
[4.2] S. Tachi, K. Tsujimoto, S. Arai, and T. Kure, "Low-temperature dry etching,"
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.
9, pp. 796-803, 1991.
[4.3] B. E. Volland, Profile simulation of gas chopping etching processes, Ph.D.
Thesis, Institute of Physics, University of Kassel, 2004
[4.4] F. Becker, I. W. Rangelow, and R. Kassing, "Ion energy distributions in SF6
plasmas at a radio-frequency powered electrode," Journal of Applied Physics,
vol. 80, pp. 56-65, 1996.
[4.5] S. Rauf, W. J. Dauksher, S. B. Clemens, and K. H. Smith, "Model for a
multiple-step deep Si etch process," Journal of Vacuum Science & Technology
A: Vacuum, Surfaces, and Films, vol. 20, pp. 1177-1190, 2002.
[4.6] S. Tachi and S. Okudaira, "Chemical sputtering of silicon by F+, Cl+, and Br+
ions: Reactive spot model for reactive ion etching," Journal of Vacuum Science
& Technology B: Microelectronics and Nanometer Structures, vol. 4, pp. 459-
467, 1986.
[4.7] H. Hayashi, S. Morishita, T. Tatsumi, Y. Hikosaka, S. Noda, H. Nakagawa, S.
Kobayashi, M. Inoue, and T. Hoshino, "Mechanism of C4F8 dissociation in
parallel-plate-type plasma," Journal of Vacuum Science & Technology A:
Vacuum, Surfaces, and Films, vol. 17, pp. 2557-2571, 1999.
[4.8] P.-A. Clerc, L. Dellmann, F. Gretillat, M.-A. Gretillat, P.-F. Indermuhle, S.
Jeanneret, P. Luginbuhl, C. Marxer, T. L. Pfeffer, G.-A. Racine, S. Roth, U.
Staufer, C. Stebler, P. Thiebaud, and N. F. d. Rooij, "Advanced deep reactive
ion etching: a versatile tool for microelectromechanical systems," Journal of
Micromechanics and Microengineering, vol. 8, pp. 272-278, 1998.
[4.9] K. Ishihara, C. F. Yung, A. A. Ayon, and M. A. Schmidt, "An inertial sensor
technology using DRIE and wafer bonding with interconnecting capability,"
Journal of Microelectromechanical Systems, vol. 8, pp. 403-408, 1999.

181
Suspension: Fabrication

[4.10] J. W. Weigold, K. Najafi, and S. W. Pang, "Design and fabrication of


submicrometer, single crystal Si accelerometer," Journal of
Microelectromechanical Systems, vol. 10, pp. 518-524, 2001.
[4.11] C. Acar and A. M. Shkel, "Structurally decoupled micromachined gyroscopes
with post-release capacitance enhancement," Journal of Micromechanics and
Microengineering, vol. 15, pp. 1092-1101, 2005.
[4.12] J. Li, Q. X. Zhang, and A. Q. Liu, "Advanced fiber optical switches using deep
RIE (DRIE) fabrication," Sensors and Actuators a-Physical, vol. 102, pp. 286-
295, 2003.
[4.13] A. Lipson, A Tunable Micro-Electro-Mechanical Optical Filter in Silicon, Ph.D.
Thesis, Department of Electrical and Electronic Engineering, Imperial College,
London, UK, 2006
[4.14] P. D. Mitcheson, P. Miao, B. H. Stark, E. M. Yeatman, A. S. Holmes, and T. C.
Green, "MEMS electrostatic micropower generator for low frequency
operation," Sensors and Actuators A: Physical, vol. 115, pp. 523-529, 2004.
[4.15] Y. Hanein, C. G. J. Schabmueller, G. Holman, P. Lucke, D. D. Denton, and K.
F. Bohringer, "High-aspect ratio submicrometer needles for intracellular
applications," Journal of Micromechanics and Microengineering, vol. 13, pp.
S91-S95, 2003.
[4.16] R. R. A. Syms, M. M. Ahmad, I. R. Young, Y. Li, J. Hand, and D. Gilderdale,
"MEMS Helmholtz coils for magnetic resonance imaging," Journal of
Micromechanics and Microengineering, vol. 15, pp. S1-S9, 2005.
[4.17] S. J. Ok, C. Kim, and D. F. Baldwin, "High density, high aspect ratio through-
wafer electrical interconnect vias for MEMS packaging," IEEE Transactions on
Advanced Packaging, vol. 26, pp. 302-309, 2003.
[4.18] "Surface technology systems," http://www.stssystems.com.
[4.19] A. M. Hynes, H. Ashraf, J. K. Bhardwaj, J. Hopkins, I. Johnston, and J. N.
Shepherd, "Recent advances in silicon etching for MEMS using the ASE(TM)
process," Sensors and Actuators A: Physical, vol. 74, pp. 13-17, 1999.
[4.20] C. J. Mogab, "The Loading Effect in Plasma Etching," Journal of The
Electrochemical Society, vol. 124, pp. 1262-1268, 1977.
[4.21] J. W. Coburn and H. F. Winters, "Conductance considerations in the reactive ion
etching of high aspect ratio features," Applied Physics Letters, vol. 55, pp. 2730-
2732, 1989.

182
Suspension: Fabrication

[4.22] R. Khanna, X. Zhang, J. Protz, and A. A. Ayon, Microfabrication Protocols for


Deep Reactive Ion Etching and Wafer-Level Bonding, Sensors,18, pp 51-60,
2001
[4.23] Clariant AZ 9260 Resist,
http://www.azresist.com/products/na/photoresists/thick_film.html.
[4.24] M. J. Madou, Fundamentals of microfabrication, 1 ed: CRC Press LLC, 1997.
[4.25] Shipley SPR1813 resist,
http://appserver.microe.rit.edu/classes/emcr666_676/reference/photoresists/S181
3_MSDS.pdf.
[4.26] K. S. Chen, A. A. Ayon, X. Zhang, and S. M. Spearing, "Effect of process
parameters on the surface morphology and mechanical performance of silicon
structures after deep reactive ion etching (DRIE)," Journal of
Microelectromechanical Systems, vol. 11, pp. 264-275, 2002.
[4.27] A. A. Ayon, R. Braff, C. C. Lin, H. H. Sawin, and M. A. Schmidt,
"Characterization of a time multiplexed inductively coupled plasma etcher,"
Journal of The Electrochemical Society, vol. 146, pp. 339-349, 1999.
[4.28] H. Jansen, M. d. Boer, R. Legtenberg, and M. Elwenspoek, "The black silicon
method: a universal method for determining the parameter setting of a fluorine-
based reactive ion etcher in deep silicon trench etching with profile control,"
Journal of Micromechanics and Microengineering, vol. 5, pp. 115-120, 1995.
[4.29] D. C. Gray, H. H. Sawin, and J. W. Butterbaugh, "Quantification of surface film
formation effects in fluorocarbon plasma etching of polysilicon," Journal of
Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 9, pp. 779-
785, 1991.
[4.30] M. Inayoshi, M. Ito, M. Hori, T. Goto, and M. Hiramatsu, "Surface reaction of
CF2 radicals for fluorocarbon film formation in SiO2/Si selective etching
process," presented at International workshop on basic aspects of
nonequilibrium plasmas interacting with surfaces (BANPIS"97), Shirahama,
Japan, 1998.
[4.31] S. Ohki, M. Oda, H. Akiya, and T. Shibata, "Cavernous undercuts appearing in
reactive ion etched submicron-wide deep trenches," Journal of Vacuum Science
& Technology B: Microelectronics and Nanometer Structures, vol. 5, pp. 1611-
1616, 1987.

183
Suspension: Fabrication

[4.32] W. T. Pike, W. J. Karl, S. Kumar, S. Vijendran, and T. Semple, "Analysis of


sidewall quality in through-wafer deep reactive-ion etching," Microelectronic
Engineering, vol. 73-74, pp. 340-345, 2004.
[4.33] I. W. Rangelow, "Critical tasks in high aspect ratio silicon dry etching for
microelectromechanical systems," presented at 49th International Symposium of
the American Vacuum Society, Denver, USA, 2003.
[4.34] A. Grigonis, R. Knizikevicius, Z. Rutkuniene, and M. Puceta, "Kinetics of
composition of polymeric layer during silicon etching in CF2Cl2 plasma,"
Applied Surface Science, vol. 199, pp. 270-277, 2002.
[4.35] J.-H. Min, S.-W. Hwang, G.-R. Lee, and S. H. Moon, "Redeposition of etch
products on sidewalls during SiO2 etching in a fluorocarbon plasma. I. Effect of
particle emission from the bottom surface in a CF4 plasma," Journal of Vacuum
Science & Technology A: Vacuum, Surfaces, and Films, vol. 20, pp. 1574-1581,
2002.
[4.36] H. Hubner, "Calculations on Deposition and Redeposition in Plasma Etch
Processes," Journal of the Electrochemical Society, vol. 139, pp. 3302-3309,
1992.
[4.37] Y.-J. T. Lii and J. Jorne, "Redeposition during deep trench etching," Journal of
Electrochemical Society, vol. 137, pp. 2837-2845, 1990.
[4.38] I. W. Rangelow, P. Thoren, and R. Kissing, "Computer simulation of pattern
profiles through physical etching with shadow, trenching, and redeposition,"
Microelectronic Engineering, vol. 3, pp. 631-638, 1985.
[4.39] B.-O. Cho, S.-W. Hwang, G.-R. Lee, and S. H. Moon, "Angular dependence of
the redeposition rates during SiO2 etching in a CF4 plasma," Journal of Vacuum
Science & Technology A: Vacuum, Surfaces, and Films, vol. 19, pp. 730-735,
2001.
[4.40] A. A. Ayon, X. Zhang, and R. Khanna, "Anisotropic silicon trenches 300-500
μm deep employing time multiplexed deep etching (TMDE)," Sensors and
Actuators A: Physical, vol. 91, pp. 381-385, 2001.
[4.41] V. K. Singh, E. S. G. Shaqfeh, and J. P. McVittie, "Simulation of profile
evolution in silicon reactive ion etching with re-emission and surface diffusion,"
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer
Structures, vol. 10, pp. 1091-1104, 1992.

184
Suspension: Fabrication

[4.42] Y. Sun, B. J. Nelson, D. P. Potasek, and E. Enikov, "A bulk microfabricated


multi-axis capacitive cellular force sensor using transverse comb drives,"
Journal of Micromechanics and Microengineering, vol. 12, pp. 832-840, 2002.
[4.43] J. P. Chang and J. W. Coburn, "Plasma-surface interactions," Journal of Vacuum
Science & Technology A: Vacuum, Surfaces, and Films, vol. 21, pp. S145-S151,
2003.
[4.44] S. A. McAuley, H. Ashraf, L. Atabo, A. Chambers, S. Hall, J. Hopkins, and G.
Nicholls, "Silicon micromachining using a high-density plasma source," Journal
of Physics D: Applied Physics, vol. 34, pp. 2769-2774, 2001.
[4.45] T. Kinoshita, M. Hane, and J. P. McVittie, "Notching as an example of charging
in uniform high density plasmas," presented at The 3rd International Workshop
on Advanced Plasma Tools for Etching, Chemical Vapor Deposition, and
Plasma Vapor Deposition: Sources, Process Control, and Diagnostics, San Jose,
California (USA), 1996.
[4.46] G. S. Hwang and K. P. Giapis, "Electron irradiance of conductive sidewalls: A
determining factor for pattern-dependent charging," Journal of Vacuum Science
& Technology B: Microelectronics and Nanometer Structures, vol. 15, pp. 1741-
1746, 1997.
[4.47] S. Samukawa and T. Mieno, "Pulse-time modulated plasma discharge for highly
selective, highly anisotropic and charge-free etching," Plasma Sources Science
and Technology, vol. 5, pp. 132-138, 1996.
[4.48] S. Franssila, J. Kiihamaki, and J. Karttunen, "Etching through silicon wafer in
inductively coupled plasma," Microsystem Technologies, vol. 6, pp. 141-144,
2000.
[4.49] F. E. Rasmussen, J. Frech, M. Heschel, and O. Hansen, "Fabrication of high
aspect ratio through-wafer vias in CMOS wafers for 3-D packaging
applications," presented at The IEEE 12th International Conference on Solid-
State Sensors, Actuators and Microsystems, Boston, MA, USA, 2003.
[4.50] M. Chabloz, J. Jiao, Y. Yoshida, T. Matsuura, and K. Tsutsumi, "A method to
evade microloading effect in deep reactive ion etching for anodically bonded
glass-silicon structures," presented at The IEEE 13th Annual International
Conference on Micro Electro Mechanical Systems, Miyazaki, Japan, 2000.

185
Suspension: Fabrication

[4.51] C.-H. Kim and Y.-K. Kim, "Prevention method of a notching caused by surface
charging in silicon reactive ion etching," Journal of Micromechanics and
Microengineering, vol. 15, pp. 358-361, 2005.
[4.52] S. Kumar and W. T. Pike, "Technique for eliminating notching in through-wafer
etching," presented at 16th MME Micromechanics Europe Workshop,
Gothenburg, Sweden, 2005.
[4.53] Coolgrease CGR7016, http://www.aitechnology.com/thermal.html.
[4.54] Vectorworks Designer, Nemetschek AG, Konrad-Zuse-Platz 1, 81829 Munich,
Germany http://www.nemetschek.net/.
[4.55] Delta Mask V.O.F, Nijmansbos 56, 7543 GJ Enschede, The Netherlands
http://www.deltamask.nl.
[4.56] N. Maluf, An Introduction to Microelectromechanical Systems Engineering,
Artech House, Inc., 2000.

186
five
5 Suspension: Characterzation

In this chapter, we use experimental methods to determine the dynamics of the

fabricated suspension. Any deviation of the suspension resonant frequency and rejection

ratio from the analytical and numerical estimates of chapter 3 are analysed and the

causes for them are discussed. The analytical and numerical models are then updated to

incorporate the effect of fabrication process variation [5.1]. A compensation design for

the suspension is developed to attain the target resonant frequency.

187
Suspension: Analysis

5.1 Measurement Techniques

The normal modes of the suspension along the major axes are determined from slow-

scan imaging in a variable pressure scanning electron microscope [5.2]. For measuring

the resonant frequency the suspension is mounted on a SEM stub such that it is free to

move. The electron-beam is rastered parallel to the compliant direction of excitation.

The raster time is set to a few tens of seconds for measuring the resonant frequency. The

same system is used to measure the damping of the suspension with rastering times of

few minutes. The suspension is excited by applying a force in the appropriate direction

by either moving the positioning stage or tapping the SEM chamber from outside. It is

expected that for future measurements an internal piezoactuator will be mounted on the

positioning stage to vibrate the suspension along the direction of interest.

5.1.1 Resonant frequencies

The scan time is adjusted so that several time periods of oscillation correspond to the

frame acquisition time. Figure 5.1 is a typical slow-scan of the oscillation. The electron

beam (e-beam) in the SEM is rastered parallel to the direction of the movement of the

spring and proof mass. The scan allows us to take a snapshot of the suspension while it

is oscillating. The time taken for scanning the complete frame is known from the SEM

scan software. We count the number of oscillations (noscillations) in a fixed length of the

frame (frameoscillations). Since we know the time taken for the complete frame

(timeframe_full), we can calculate the time taken for the oscillations counted. Dividing the

number of oscillations counted by the time taken for the e-beam to raster over them

gives the number of oscillations per unit time. In Figure 5.1, total time for the full frame

acquisition (timeframe_full) is 5.1 s, the length of the whole frame (framefull) is 5.505 mm,

188
Suspension: Analysis

the length of frame (frameoscillations) containing 40 oscillations is 3.911 mm. The resonant

frequency for the lateral suspension can be calculated as:

frame full × noscillations 5.505mm × 40 (5.1)


ωo = = = 11.03Hz
frameoscillation × time frame full 3.911mm × 5.1s

Figure 5.1 Slow-scan image of suspension under excitation, the edges of the spring and proof mass

appear as a sinusoid due to the rastering of the electron beam parallel to the direction of motion of

the proof mass. The sinusoidal edges show the fundamental mode of the suspension.

On closer inspection we can see the higher-order modes overlapped on-top of the

normal modes. Figure 5.2 shows the overlap of the x1 mode (the first in-plane spurious

mode along X-axis) on the normal x mode, the x1 mode is higher frequency than the x

mode and is visible in the SEM as a higher-frequency oscillation on the springs whereas

the proof-mass and the overall springs have a low-frequency oscillation shape from the

189
Suspension: Analysis

x mode. Similarly both the rotational modes and other translational modes can be

visualized by carrying out a slow scan along the various axes. The modes are excited by

orienting the stage in a way that an externally applied tap on the chamber walls gets

directly translated to the suspension along the direction of interest.

Figure 5.2 Overlap of the first spurious mode along the x-axis (ωx1) on top of the normal x-axis

mode (ωx). The x1 mode is visible as the higher frequency mode on the springs. The x mode is

visible as the low-frequency sinusoid of the proof mass and the springs.

To visualize the out-of-plane z mode, the suspension is tilted so that the beam is parallel

to the Y-axis of the suspension. The constant oscillation of the centre of the proof mass

(Figure 5.3 inset 2) shows the out-of-plane z mode (ωz) whereas the see-saw oscillation

of the proof mass at the corners (Figure 5.3 inset 1) gives the rotation mode about Y-axis

(ωβ).

190
Suspension: Analysis

Figure 5.3 SEM of the suspension with the electron beam scanning along the Y-axis of the

suspension, the insets show the ωz and ωβ modes. Inset 1 shows the see-saw motion of the proof

mass due to the rotational mode (ωβ) about Y-axis of the suspension. The out-of-plane mode (ωz) is

clearly visible at the center of the proof mass in inset 2 as a constant amplitude oscillation.

We calculate the normal mode frequencies from the SEM measurement in a similar way

as the x mode by calculating the time taken for a specific number of oscillations

showing that specific mode.

The SEM based measurement of resonant frequencies is suitable for measuring only

low-frequency systems due to the loss of resolution for images with scan acquisition

191
Suspension: Analysis

time of less than 0.1 second as the SEM’s e-beam rastering is slow. This restricts the

technique to the measurement of suspensions with modes below few hundred hertz.

5.1.2 Quality factor

The quality factor (Q) of the suspension is calculated by carrying out a ringdown test in

a SEM. The Q is determined by the time taken for the amplitude to reduce by 1/e which

is the decay time constant, τ of the suspension. The expression for calculating the Q for

a suspension with resonant frequency ω0 is given by

Q = πω0τ (5.2)

Figure 5.4 Ringdown of suspension in SEM slow-scan. Measuring the time taken for the amplitude

of oscillations to drop to 1/e of its initial value gives the decay time constant (τ) of the system.

192
Suspension: Analysis

Figure 5.4 shows the ringdown test for the suspension in UHV where the rastering time

is 24 minutes. Availability of a variable pressure SEM allows ringdown tests to be

performed at various pressure levels including ultra high vacuum (UHV).

For the ringdown in Figure 5.4 the initial amplitude of oscillation is 68 μm, so decay

time constant (τ) is given by the scan time taken for the amplitude to decay to 1/e of

initial amplitude which is 25 μm. The total time for the scan (timeframe_full) is 24 minutes,

the length of the frame (framefull) is 250.1 μm and the length of frame (frameoscillation)

between the initial oscillation and when it decays to 1/e of its initial value is 114.6 μm.

The decay time is thus calculated as

frameoscillation × time frame _ full 114.6 μm × 24 min× 60 sec/ min (5.3)


τ= = = 664.3 sec
frame full 250.1μm

Q can be calculated using equation 5.2,

2 × π × 10.9 × 664.3 (5.4)


Q= ≈ 22000
2

With such high Q values it was important to stabilize the suspension before imparting

the ringdown impulse. This is achieved by raising the chamber pressure to few hundred

pascals for a few minutes prior to the impulse to dampen out the background

oscillations. The chamber pressure is then reduced to the required level just before the

scan.

5.2 Experimental Work

The resonant frequency and mode visualization is carried out in the SEM using the

method described in section 5.1. The quality factor (Q) of the suspensions is determined

by carrying out a ringdown test and calculating the decay time constant.

193
Suspension: Analysis

5.2.1 Resonant frequencies

The resonant frequency of the fabricated suspension is measured and compared to the

analytical model developed in chapter 3. Table 6.1 presents the analytically and

numerically calculated resonant frequencies and compares it to the experimentally

determined values. The tolerance on the measured values is due to measurement error

when using a SEM image; some modes are harder to visualize leading to higher errors

when calculating the resonant frequency values.

Table 6.1 Vibration modes of silicon suspension with 24 μm wide springs. The suspension has two

set of springs with one intermediate frame.

Mode Model Model FEA Measured Error Error


Analysis (Analytical) (FEA)

ωx 12.2 12.2 7.9±0.1 -35.2% -35.2%

ωx1/ωx 17.5 14.4 15.7±0.2 -10.2% +9.0%

ωy/ωx 9.3 8.9 9.4±0.3 +1.0% +5.6%

ωz/ωx 11.5 11.1 11.9±0.2 +3.5% +7.2%

ωα/ωx 12.4 14.0 - - -

ωβ/ωx 23.5 20.4 19.2±0.2 -18.3% -5.9%

ωγ/ωx 9.1 9.6 10.5±0.5 +15.4% +9.4%

As we see in Table 6.1, fabricated devices demonstrate significantly different resonant

frequencies compared to the simulated device. Even though the suspension is designed

to have a resonant frequency of 12.2 Hz, the first mode is only 7.9 Hz for the fabricated

suspension, a drop of 35%. The analytical model is more accurate than the FEA model

for calculating the translational resonant frequencies of the suspension. This can be due

to the fact that the FEA model is much simplified and the spring end connector rigidity

194
Suspension: Analysis

is much higher for the actual device which is accounted for in the analytical model. FEA

model is overall quite accurate and predicts all the modes within 10% of their measured

values and is much more accurate than the analytical model for rotational modes. This is

due to the FEA taking care of the bending and torsional components of the stiffness

more accurately than the analytical model.

The drop in the fundamental in-plane mode along X-axis (ωx) is due to the thinning of

the spring during fabrication since a thin spring will reduce the second area moment Ix

and ωx is linearly dependent on square root of Ix. As we discussed earlier in section

4.5.8 the etched features do not have vertical walls and this could cause a significant

change in the stiffness of the springs. An analysis of the spring shape thus becomes

important to understand the difference in the model and actual device.

The first spurious mode along X-axis (ωx1) is nearly 9% higher than the model estimate;

this is due to the decrease in mass of spring and frames due to reduction in spring and

frame width from bowing compared to the ideal rectangular profile.

The slight variation in the lateral in-plane mode (ωy) and out-of-plane mode (ωz) could

be due to variation in Ix and Iz for the spring and frame due to bowing of the profile

shape.

We are unable to visualize the rotational mode about X-axis (ωα) as the best place to

visualize it is by looking down the X-axis of the suspension and seeing the oscillation of

the edges of the proof mass. This is hard to achieve due to the distance and the almost

195
Suspension: Analysis

latched condition of the proof-mass under maximum deflection due to gravity causing

the proof-mass to rest on the bottom springs restricting mechanical movement.

The rotational modes agree within 10% of the FEA values, which is a good agreement

given that there is higher measurement error in these modes compared to the

translational modes.

Even though the fundamental resonant mode is significantly different from the predicted

value from the analytical and numerical model, the rejection ratio of the higher order

modes to the fundamental is nearly similar to the model. This is due to the dependence

of the modes predominantly on Ix which defines ωx. Modes which are dependent on J

also are independent of the profile shape as J is proportional to Ix for thin springs

(section 5.3.3) and so the rejection ratio is independent of Ix. The slight difference in

some modes can be attributed to their dependence on Iz which is not proportional to Ix.

To analyze the performance of a fabricated device and correctly predict the mechanical

characteristics of a new design, it is important to consider the profile shape in the

analytical and numerical models. We use an analytical model in section 5.3 for

designing lateral suspensions taking into account the non-ideal etch profile. Numerical

models become complex when the profile shape needs to be taken into consideration.

To avoid complexity associated with meshing bowed beam cross section of high aspect

ratio beams, we use the analytical models to calculate an effective thickness of the

spring and used it in the FEA model with rectangular spring profile so we can obtain

some information on the modes and their shapes. Silicon suspensions are then fabricated

and their mechanical characteristics compared to analytical calculations.

196
Suspension: Analysis

5.2.2 Quality factor at varying pressure

The SEM allows controlling the pressure of the test chamber from 1 to 400 Pa, which is

suitable for seeing the effect of viscosity on the Q, though for measuring the Q at

atmospheric pressure we use an optical microscope. The Q variation with pressure is

shown in Figure 5.5 for a suspension. The Q at standard atmospheric pressure (1 atm =

1 bar = 105 Pa) is 1000, decreasing the pressure below 1 atm does not result in

significant change in Q until the pressure is lower than 600Pa. The Q-factor at UHV

(Ultra-high vacuum, 0.001 Pa ) is 40,000.

100000

material rarified viscous


damping regime damping

10000
Q

1000

Kn=1

100
0.001 0.1 10 1000 100000
Pressure (Pa)

Figure 5.5 Plot of measured quality factor as a function of pressure for 10 Hz silicon suspension.

The variation in quality factor at various pressures can be classified into three regimes

of gas and material damping of structures. In the first two regimes: high pressure and

rarefied regime, gas damping dominates. When the pressure is very low gas damping is

smaller than material damping of the silicon suspension. At high pressure the dominant

197
Suspension: Analysis

gas damping is due to the closing and opening of the springs with oscillation leading to

squeeze-film damping. Squeeze-film damping is dependent on the viscosity of the gas

which does not vary with pressure in the high-pressure regime so the damping is quite

high but constant in high-pressure regime. In rarefied/molecular regime, viscosity is a

function of pressure and damping reduces with reducing pressure. The transition

between the two gaseous damping regimes is determined by the Knudsen number, Kn ,

which is given by the ratio of the mean free path of the gas molecules to the size of the

damping gap – in this case the separation between the springs. The Knudsen number is

given by

λ k BT (5.5)
Kn = =
L 2πσ 2 PL

where,

λ is the mean free path (m), L is the representative physical length scale (m), kB is the

Boltzmann’s constant (1.38 × 10-23 J/K), T is the temperature (K), σ is the particle

diameter (m) and P is the total pressure in Pascal (Pa).

The rarefaction of flow based on Knudsen number is generally divided into four flow

regimes: Continuum regime (<0.001), slip flow (0.001 – 0.1), transition flow (0.1 – 10)

and free molecular (>10). For the silicon suspension with spring gaps of 410 μm, Kn is

unity at a pressure of 330 Pa, and the transition is expected for pressure range of 3.3 Pa

to 3.3 kPa. Below 3.3 Pa the material damping will dominate and above 3.3 kPa the Q

does not change with pressure but is much reduced.

198
Suspension: Analysis

In the environmental SEM, the quality factor for low pressure between 1 Pa and 0.001

Pa is extrapolated from the rarefied regime as the chamber is not capable of varying

pressure in this range.

The suspension used in this case was etched silicon with a 200 nm oxide on the top

surface and a thin coating of polymer (passivation layer) on its sidewall from DRIE.

Cleaning the passivation layer increase the Q even further at UHV to 250,000. Even

though it is possible to attain such high Q for a bare silicon suspension, it is not realistic

to attain similar Q for the complete device as the presence of other metal and polymer

insulator layers dictate the Q of the device (as metal and polymer have much higher

material loss leading to a low Q) and preempt any aggressive chemical etch required to

get rid of the Teflon coating on the suspension sidewalls.

In the SEM, the suspensions are mounted such that the regions above and below the die

is unconstrained so at high pressure (>400 Pa until 1 bar) the only damping that occurs

is due to the air flow between the springs. The analytical formula for calculating the

squeeze flow damping coefficient in the high pressure regime (non-varying viscosity) is

given by

14ηlt 3 (5.6)
α squeeze =
Nd 3

where l is the length of the spring, t is the thickness of the spring, N is the number of

spring sets, d is the gap between the parallel sides of a spring and η is the viscosity of

the surrounding media.

199
Suspension: Analysis

For a suspension with two set of springs and one intermediate frame N = 4, t = 525 μm,

η = 1.98×10-5 kg m-3 s-1, l = 8032 μm, d = 410 μm. The coefficient of squeeze flow

damping is calculated by using equation 5.5, αsqueeze = 1.16×10-6 kg m-2 s-1.

Q-factor due to squeeze flow damping is given by

mω 0 (5.7)
Qsqueeze =
α squeeze

Using equation 5.6, we calculate the quality factor for the suspension due to squeeze

flow damping as, Qsqueeze ≈ 14000, which is much higher than the experimentally

determined Q of 1000 at high pressure. This shows other effects besides squeeze film

damping affect the Q-factor at high pressure. Viscosity is directly proportional to the

ambient pressure in the rarefied regime so with decreasing pressure viscosity also

decreases which reduces αsqueeze in turn increasing Qsqueeze.

5.3 Effect of Etch Profile Shape on Suspension

Dynamics

The experimentally measured value of resonant frequency was much lower than the

model estimated value as we discussed in section 5.2.1. The DRIE etch causes a

deviation of the spring profile from the ideal rectangular shape.

As discussed in section 4.5.8 the profile shape of an etched channel can be either

tapering (towards or away from the centre line), vertical, bowing or a combination of

these effects. It is generally difficult to maintain a vertical profile shape particularly for

high aspect ratio structures as in this case. For these conditions it is important to

200
Suspension: Analysis

quantify and model the influence of the profile shape on the mechanical performance of

the resulting device.

Previous works have analyzed the bending of folded cantilevers in the compliant

direction if the deviation from the rectangular profile is small [5.3, 4] or trapezoidal

[5.5], but the approximations made are not applicable to the more pronounced etch

profiles observed in this work and elsewhere [5.6].

We quantify the profile shape for through-wafer etched beams and present an analytical

model to evaluate the effect of profile shape on silicon lateral suspensions. A design

methodology is developed to compensate for the non-rectangular spring cross-section

and to achieve the requisite mechanical performance targets.

5.3.1 DRIE etch profile

Lateral undercutting of the sidewall resulting in bowing of the profile is attributed to

various etching and plasma conditions. The time multiplexing of etching and

passivation cycles in DRIE can be tuned to tailor the overall profile shape by varying

various process parameters such as gas flow rate, chamber pressure, RF coil power and

platen power. It becomes increasingly difficult to control the overall profile shape of the

etched structures for deep etches, as various effects relating to changes in reactivity and

charging come into play and change the etching characteristics with etch depth. With

increasing etch depth, the sidewalls accumulate charge distorting the electric field lines

causing the ions to deflect laterally towards the sidewall resulting in lateral etching [5.7,

8]. Also, with increasing etch depth, the reaction between ions and reactive neutral

radicals increases causing accelerated lateral etching by the isotropic radicals [5.9].

201
Suspension: Analysis

Even though it is possible to tailor the overall profile shape of a HAR structure, the

cross-section (CS) is almost never completely linear. This is not much of a concern for

larger mechanical structures but can significantly affect the mechanical properties of

slender structures where the undercut depth becomes a significant proportion of the

structure width.

This is the reason why fabricated suspensions demonstrate significantly different

resonant frequencies compared to the simulated device (Table 6.1). Detailed discussion

on DRIE etch profile is presented in section 4.5.8.

5.3.2 Spring profile characterization

Spring cross-sections from various etch runs are analyzed to quantify the undercut. The

beam cross-section is quantified using a scanning electron microscope (SEM) and white

light interferometer. Figure 5.6 shows the SEM of the cross-section of the spring beam.

The mask width of the beam was 24 μm which is reduced to 22 μm in the actual

fabricated structure. Additionally the beam cross-section narrows in the middle to 16

μm before reverting back to 22 μm giving a bowed profile.

Figure 5.6 SEM image of beam cross-section. The mask design was for a spring width of 24 μm

which is reduced to 22 μm at top edge of the spring during the etch and the middle section of the

beam is thinner than the top and bottom.

The bowing is further characterised using interferometer as shown in Figure 5.7. The

linescan shows the bowing on an exaggerated scale.

202
Suspension: Analysis

Figure 5.7 Undercut measurement using Zygo white light interferometer of spring cross-section.

A set of suspensions with 24 μm and 31 μm wide springs are etched to verify the

consistency of the etch profile. Figure 5.8 shows that the undercut is similar for both the

spring thickness, which means the undercut is independent of the feature size and is

solely dependent on the process parameters (as discussed in section 4.5.8).

Figure 5.8 Measured lateral undercut for 24 μm and 31 μm wide beam.

The advantage of a feature size independent undercut is that one needs to measure the

undercut for any one feature on the wafer and then the effect of undercut on the

mechanical performance of all feature widths can be compensated using the data from

the initial structure. This assumes that the etch trenches are same across the wafer, it is

expected that for varying trench widths the undercut will also vary.

203
Suspension: Analysis

5.3.3 Analytical model

The non-rectangular beam cross-section (Figure 5.9) has an effect on the bending and

torsion constants Ix, Iz and J. Ix and Iz are area moment of inertia along X and Z axes

respectively. J is the torsional rigidity about the Y-axis. The profile can be quantified by

a depth-dependent beam width, w(t) which can be assumed symmetric about the yz-

plane of the beam. The bending constant for a beam with thickness t is then given by

1 t (5.8)
Ix =
12
∫ w (t ′)dt ′
3

Figure 5.9 Beam cross section of an anisotropically etched beam, (a) rectangular cross-section, (b)

bowed cross-section.

As the beam profile will normally be measured at a series of discrete points, for

example from a SEM cross section, it is more useful to consider the numerical

approximation for beam-width data (wn, tn; n = {1, N }), taken at a series of depths which

need not be regularly spaced as shown in Figure 5.10.

204
Suspension: Analysis

Figure 5.10 Discretization of the spring cross-section into trapezoidal elements to calculate the

bending and torsional constants.

Approximating the profile as a series of trapezoids, the X-axis bending constant is given

by

1 N −1 2 (5.9)
Ix ≈ ∑ wn (tn +1 − tn )(3wn +1 − wn )
24 n= 0

Similarly, for Iz approximating the profile to a series of rectangles:

N −1
1 (5.10)
Iz ≈ ∑ wn (tn +1 − tn ){3(tn + tn +1 − t)2 + (tn +1 − tn )}
12 n= 0

The neutral axis of the beam is assumed to be at half the wafer thickness (t). The torsion

constant can be derived with the help of membrane analogy [5.10]: the torsional rigidity

of a cross section is directly proportional to the total volume swept by a pressurized

membrane stretched over an opening the shape of the cross section. From this analogy

the solution for a long thin beam, J = w t /3 can be decomposed for a long thin beam of
3

varying width into contributions from thin slices of width dt΄, giving

1 t (5.11)
J=
3
∫ w (t ′)dt ′
3

205
Suspension: Analysis

which is proportional to the expression for Ix in equation 5.9. This proportionality is

coincidental, applying only to thin beams, but has the important effect that the ratio of

deflections due to torsion and bending in the compliant direction is unchanged by cross-

sectional shape of the beam as we observed earlier in section 5.2.1. Hence, although the

etch profile can have a large effect on the values of the normal modes of the suspension,

ratios between modes will tend to be little affected, as the modes of the suspension are

mostly dependent on Ix and J of the beams. For the analysis, an effective beam width,

weff is given by

1 t (5.12)
w 3
eff =
3t
∫w 3
(t ′)dt ′
0

weff can be used in the expressions for a rectangular, high-aspect-ratio suspension

geometry to give the correct values of Ix and J, although the value of Iz will not be valid.

So the ratio of the modified natural frequency to the frequency for a rectangular spring

cross-section is given by:

(5.13)
ωx Ix
=
ω x0 I x0

where ωx0, Ix0 refer to the resonant frequency and second area moment for the nominal

profile.

The various resonant modes for the suspension can be derived by using expressions

from Table 3.5 with updated I and J values for the springs.

206
Suspension: Analysis

Figure 5.11 Etch profile of the spring - total spring thickness vs. etch depth.

By measuring the profile shape of a 24 μm wide spring (Figure 5.11) we have

numerically calculated the new Ix = 263821 μm4, Iz = 236×106 μm4 and J = 105×104

μm4. The effective width (weff) is calculated as 18.16 μm. Ix and J are just 43% of their

nominal values assuming a rectangular profile with the spring width of 24 μm. Iz is less

sensitive to the etch profile being only 80% of nominal, as the bending constant along

the z-axis is mostly determined by the thickness of the spring which is constant and is

only linearly dependent on the width of the spring cross-section.

Table 6.2 compares the model estimated values incorporating the profile shape to the

initial model estimates based on ideal rectangular profile and experimentally measured

values. The FEA model was developed using an effective spring width of 18 μm with a

rectangular profile which gives the same Ix and J as the analytical values. However, it is

207
Suspension: Analysis

not possible to simultaneously match Iz, which causes an error in the FEA calculation of

ωz as compared to the analytical model which is closer to the measured value.

Table 6.2 Resonant modes for a 24 μm wide spring suspension. Comparison between analytical,

numerical and experimental data incorporating beam profile shape.

Analytical Analytical FEA Observed


(ideal) (profile)

ωx 12.2 8.1 8.0 7.9±0.1

ωx1/ωx 17.5 17.5 14.2 15.7±0.1

ωy/ωx 9.4 9.3 8.8 9.4±0.3

ωz/ωx 10.1 11.5 10.2 11.9±0.2

ωα/ωx 12.4 12.4 13.6 not measured

ωβ/ωx 20.6 23.5 20.5 19.2±0.2

ωγ/ωx 9.2 9.1 9.5 10.5±0.5

The agreement between analytical and FEA model incorporating profile shape with

observed values provide confidence in the lateral suspension models. Analyses of other

suspensions show excellent agreement of FEA and analytical results for a broad range

of geometrical designs.

5.3.4 Compensation for a non-ideal etch profile

To compensate for the reduction in frequency, the width of the spring has to be

increased so that the second area moments Ix, Iy and torsional rigidity, J for the spring

with the bowed profile is similar to the values required for achieving the correct

resonant frequencies. The compensation strategy for achieving the performance target is

as shown in Figure 5.12. The addition of a rectangular area to the existing spring profile

208
Suspension: Analysis

to achieve the effective thickness for the required resonant frequency is possible due to

the profile shape being independent of the feature width as demonstrated in Figure 5.8.

Figure 5.12 Compensation for spring etch profile. (a) Ideal, (b) Actual after fabrication, and (c)

Compensated beam cross-section showing the addition of a rectangular area of width Δw to

increase the second moment of area Ix for the fabricated spring beam to specification.

The additional width of the spring (Δw) is calculated by solving the equation for the

moment of area Ix for spring with nominal thickness required for achieving the specified

resonant frequency (10 Hz in this case).

2 (5.14)
⎛ Δw ⎞ Aspring
I nominal = I fill + I actual + 2⎜ ⎟
⎝ 2 ⎠ 2
Δw 3t Δw Aspring
2

I nominal = + + I actual
12 4

where Aspring is the cross-sectional area of the spring (Figure 5.12b), Inominal is second

area moment for spring with effective width required for achieving the specified

resonant frequency, Iactual is the second area moment for the spring which is fabricated

and is the basis for the next set of designs, Ifill is the second area moment for the added

rectangular area to increase the I and J components of the spring , and t is the thickness

of the spring (same as thickness of the wafer). To calculate Δw we need to solve a cubic

equation of the form:

209
Suspension: Analysis

(5.15)
Δw 3t Δw Aspring
2

+ − (I nominal − I actual ) = 0
12 4

For the current design specification with 24 μm spring width,

1
I nominal = × 24 3 × 500 = 576000μm 4
12

1 1
I actual = weff t = × 183 × 500 = 243000μm 4
12 12

Aspring = 9535μm 4 and t = 500 μm.

Solving equation 5.12, we get Δw = 6 μm.

The compensated spring would have a thickness of 30 μm (24 μm + 6 μm) to achieve

the specified resonant frequency of 10 Hz. We fabricated suspensions with 30 μm wide

spring and measured its resonant frequencies, which is presented in Table 6.3 along

with the original 24 μm wide spring suspension. For the analytical model we

numerically calculated the values of Ix, Iz and J from the SEM of the cross-section of the

spring (Figure 5.13).

210
Suspension: Analysis

Figure 5.13 Spring profile for 30 μm wide beam, (a) total spring thickness vs. etch depth, (b) SEM

of the beam cross-section.

As we can observe from Table 6.3, the suspension with 30 μm wide spring is close to

our initial specification of 10 Hz. The cross-axis sensitivity also improves as the wider

spring in addition to being compliant along X-axis also increases the stiffness along Z-

axis.

The analytical model developed is further verified by calculating the resonant

frequencies and comparing it against measured values for a one spring set suspension

with spring width of 24 μm. The analytical, FEA and measured values of the resonant

frequencies are presented in Table 6.4.

211
Suspension: Analysis

Table 6.3 Modal frequency for suspension with 24 μm spring which is increased to 30 μm to

compensate for the reduction in resonant frequency due to etch profile shape.

Resonant Initial Suspension design Compensated spring design


frequency 24 μm springs 30 μm spring
Analytical Analytical Measured Analytical Analytical Measured
(ideal) (profile) (ideal) (profile)

ωx 12.2 8.1 7.9±0.1 14.3 9.9 10.3±0.2

ωx1/ωx 17.5 17.5 15.7±0.2 14.9 14 12.5±0.5

ωy/ωx 9.4 9.3 9.4±0.3 11.1 11.1 11.3±0.2

ωz/ωx 10.1 11.5 11.9±0.2 9.3 11.1 19.0±0.2

ωα/ωx 12.4 12.4 - 10.8 14.3 -

ωβ/ωx 20.6 18.8 19.2±0.2 17.6 17.1 13.0±0.2


ωγ/ωx 9.2 9.1 10.5±0.5 10.9 10.9 11.5±0.3

Table 6.4 Resonant modes for 1 spring set suspension with 24 μm wide spring.

Analytical FEA Observed

ωx 11.4 11.4 10.7±0.2

ωx1/ωx 20.4 36 42±2

ωy/ωx 7.7 7.2 6.8±0.4

ωz/ωx 10.1 8.3 9.5±0.5

ωα/ωx 14.9 10.4 not measured

ωβ/ωx 19.3 15.7 not measured


ωγ/ωx 7.5 8.3 8.5±0.3

The analytical, FEA and observed values are in good agreement for the one spring

suspension. The largest error is in the analytical result for the first on-axis spurious

mode (ωx1), where the observed value is double the model predicted value. This error is

212
Suspension: Analysis

not surprising as the assumption for the analytical calculation that the suspension has a

constant mass density is least valid for a single spring. For the two spring suspension

the agreement improves as the spatial periodicity of the suspension becomes closer to

the assumed constant mass density of the suspension.

The design compensation scheme developed in this section allows for the estimation of

resonant modes for a wide variety of lateral suspension designs prior to fabrication. The

good agreement between the analytical and numerical model provides confidence in

using the model to predict the dynamical performance of suspensions pre-fabrication.

5.4 Summary

The resonant frequency and quality factor of the suspension is measured using a

variable pressure SEM. The Q of the suspension is measured to be 1000 at atmospheric

pressure and about 40,000 at UHV. The resonant frequency measured for the

suspensions are much below the model estimates. The rejection ratios however are

similar to the estimates.

The discrepancy in the measured and model estimates is attributed to the non-ideal

profile of the spring beams cross-section. The analytical and FEA models used an ideal

rectangular geometry for the spring beam cross-section whereas SEM and

interferometer scans confirmed the spring beam cross-section to be bowed. The

difference in resonant frequency of the suspension is therefore due to the reduced area

moment of the spring beam.

213
Suspension: Analysis

The analytical model is updated to incorporate the effect of the spring beam profile by

using a numerically calculated area moment for the spring beam. The analytical model

is then used to determine an effective spring thickness for the FEA analysis. Both

analytical and FEA model are closer to the measured values after incorporating the etch

profile shape.

A compensation scheme is devised to calculate the spring beam width to attain the

specified resonant frequency. This enabled the design and fabrication of a new set of

suspensions which are able to reach the desired resonant frequency of 10 Hz. The cross-

axis rejection ratios are improved due to thicker springs.

The author’s major contributions covered in this chapter are the characterization of

fabricated suspensions. Some measurements were performed by other members in the

microseismometer team for suspensions that were fabricated by the author, since such

measurements are part of complete data sets presented in this chapter, they have not

been individually recognized. The profile compensation scheme was implemented by

the author in collaboration with Tom Pike. The spring beam profile characterization and

updated numerical models are also performed by the author.

5.5 Conclusion

The lateral suspension demonstrated a Q of 1000 in air and 40,000 at UHV and

removing the polymer coating from the spring sidewalls increased the Q to 250,000 –

higher than anything reported for bulk fabricated single crystal suspension. The

suspension satisfies the criteria set in chapter 2 to achieve Q ≥ 330 to satisfy the NEA

(Noise equivalent acceleration) requirement of 0.35 ng/√Hz.

214
Suspension: Analysis

After incorporating the effect of the etch profile shape, the analytical and numerical

estimates are in excellent agreement with the measured resonant frequencies providing a

high level of confidence on the lateral suspension models and their applicability to other

designs.

As far as the dynamics of the suspension are concerned, a non-ideal etch profile, if

properly incorporated into the design, causes few problems. Bowing reduces Ix and J so

to increase it back to nominal value for the required resonant frequency, the spring is

increased in width which increases Iz thus increasing the cross-axis separation of modes.

Bowing also reduces the mass of the spring which improves the rejection ratio for the

first on-axis spurious mode (ωx1).

For fabricating metal traces on the springs, the bowed profile of the spring provides a

larger top surface area for traces.

215
Suspension: Analysis

5.6 Bibliography

[5.1] W. T. Pike and S. Kumar, "Effect of profile shape on mechanical performance of


silicon lateral suspension," presented at 16th MME Micromechanics Europe
Workshop, Gothenburg, Sweden, 2005.
[5.2] W. T. Pike and I. M. Standley, "Determination of the dynamics of
micromachined lateral suspensions in the scanning electron microscope,"
Journal of Micromechanics and Microengineering, pp. S82-S88, 2005.
[5.3] R. Liu, B. Paden, and K. Turner, "MEMS resonators that are robust to process-
induced feature width variations," Journal of Microelectromechanical Systems,
vol. 11, pp. 505-511, 2002.
[5.4] T. Harness and R. R. A. Syms, "Characteristic modes of electrostatic comb-drive
X-Y microactuators," Journal of Micromechanics and Microengineering, vol.
10, pp. 7-14, 2000.
[5.5] K. W. Lee, Electromechanical modelling of trapezoidal microstructures, Ph.D.
Thesis, Department of electrical and electronic engineering, Imperial College,
London, 2003
[5.6] A. A. Ayon, R. Braff, C. C. Lin, H. H. Sawin, and M. A. Schmidt,
"Characterization of a time multiplexed inductively coupled plasma etcher,"
Journal of The Electrochemical Society, vol. 146, pp. 339-349, 1999.
[5.7] J. C. Arnold and H. H. Sawin, "Charging of pattern features during plasma
etching," Journal of Applied Physics, vol. 70, pp. 5314-5317, 1991.
[5.8] J. P. Chang and J. W. Coburn, "Plasma-surface interactions," Journal of Vacuum
Science & Technology A: Vacuum, Surfaces, and Films, vol. 21, pp. S145-S151,
2003.
[5.9] Y.-J. T. Lii and J. Jorne, "Redeposition during deep trench etching," Journal of
Electrochemical Society, vol. 137, pp. 2837-2845, 1990.
[5.10] W. Weaver, S. P. Timoshenko, and D. H. Young, Vibration problems in
engineering, 5 ed: John Wiley & Sons, 1990.

216
Six
6 Microseismometer: Prototype

This chapter presents a brief description of the microseismometer prototype and its

various components. Fabrication processes required to build each of the modules are

also detailed along with some overall experimental results for the microseismometer.

The work in this chapter has been a team effort with contributions from the author on

each of the aspects covered. The overall design of the microseismometer is covered in

Pike et al. [6.1, 2].

217
Microseismometer: Prototype

6.1 Seismometer

Seismometers measure the ground motion arising from a seismic event by determining

the motion of the ground (reference frame) relative to the suspended proof-mass

(inertial frame of reference). The relative motion can be measured as displacement,

velocity or acceleration by various methods like strain gauges, magnetometers, electron

tunnelling, piezoresistive sensing, piezoelectric sensing, interferometer and capacitive

(or electrostatic) sensing. A description of these methods in provide in Kovacs [6.3].

Differential capacitive position transducer is the most widely used precision sensing

mechanism and is employed in the most sensitive seismometers. Differential capacitive

position transducer can be implemented as a distance (gap) changing or overlap area

changing system (Figure 6.1). Gap changing systems have a non-linear transfer function

whereas for systems where the overlap area of the plates is varied with position the

change in capacitance is more linear (limited by fringing field effects) [6.4].

Figure 6.1 Two implementation of capacitive sensing, (a) distance (gap) changing: the distance

between the plates is varied and (b) overlap area changing: the overlap area between the plates is

varied with position.

The microseismometer can be operated in open-loop or closed loop configuration, in

open-loop the voltage output of the capacitive transducer is the final output whereas in

218
Microseismometer: Prototype

closed-loop the output of the feedback controller is the final output. Operating a system

with feedback provides the ability to shape the frequency response of the

microseismometer and produce a linear signal. In addition, feedback increases the

dynamic range, and sensitivity of the system. Feedback control is further discussed in

section 6.3.

Conventional seismometers produce a velocity output, preferable in terrestrial

seismology, since the background-seismic-velocity noise is evenly spread in its power

spectrum and so the output allows the greatest dynamic range of the seismic signal over

the band of interest. For an electromagnetic seismometer the proof-mass has a coil

attached to it which moves in a magnetic field. The current induced in the coil is

proportional to the velocity thus allowing a velocity output from the sensor.

The feedback actuation can be implemented as an electrostatic parallel plate or comb

drive actuator or can be electro-magnetic in design with an actuation coil in an external

magnetic field. The magnetic actuator provides much lower noise than the electrostatic

counterpart as it does not require high voltages. Figure 6.2 shows the various

subsystems of the microseismometer.

Figure 6.2 Block diagram of the microseismometer. The four major functional units are the

mechanical suspension, capacitive transducer which converts the mechanical motion of the proof

mass to electrical signal, feedback controller which drives the electro-magnetic actuator to keep the

proof-mass at equilibrium.

219
Microseismometer: Prototype

Section 6.4 provides details of the electro-magnetic actuator designed for the

microseismometer. In section 6.5 we will look at the fabrication aspects of the various

mechanical components of the microseismometer. Section 6.6 provides a brief

introduction to the assembly of the complete device; section 6.7 looks at damping of the

packaged suspension and experimental test results are presented in section 6.8.

6.2 Lateral Differential Capacitive Array Transducer

The microseismometer employs a lateral capacitive array transducer (LCAT) [6.1] to

sense the lateral motion of the proof mass (see section 6.2). This transducer determines

the displacement between the fixed plate (pickup) and the parallel moving plate (drive)

attached to the suspended proof mass by measuring the change in capacitance between

the periodic electrode array on the drive and pickup plates. The sensitivity of differential

capacitive transducer increases as the nominal gap between the fixed and moving plate

is reduced. Increasing the sensitivity requires smaller electronic gain leading to smaller

contribution of electronic noise to the overall noise of the system. However, decreasing

the gap between the fixed and moving plates increases the coquette-flow damping of the

suspension leading to higher mechanical noise. Hence optimum performance is a

compromise between increasing the sensitivity and reducing the damping.

Figure 6.3 shows the cross-sectional view of the microseismometer assembly for the

capacitive transducer. The suspension die is bonded to the fixed (capping) die at the

edges while the central portions are separated by a micro-machined cavity. The

suspension and capping die contain an array of drive and output electrodes, the direction

of periodicity of the arrays aligned to the allowed motion of the proof mass. The drive

220
Microseismometer: Prototype

and output electrodes have the same periodicity (Figure 6.4a). The signal from the

output electrodes are transmitted using traces over the springs.

Figure 6.3 Cross-sectional view of the microseismometer showing the Capacitive array transducer

with the drive electrodes on the proof-mass and a set of overlapping output electrodes on the top

capping die.

Displacement of the proof mass under acceleration changes the relative position of the

proof mass electrodes and the capping die electrodes. A small change in the relative

position of these electrodes change the overlap between the proof mass electrodes and

the fixed capping die electrodes and so can be transduced as a change in capacitance

between the fixed and moving plates through suitable drive and pick-up electronics.

The LCAT’s output electrodes are deposited on the silicon proof mass and the drive

electrodes are deposited on the fixed glass layer aligned directly above the silicon

substrate. The drive electrodes (Figure 6.4a) are driven with out-of-phase voltages, and

two sets of output electrodes provide a differential output signal. Figure 6.4b shows the

drive and output signals, the frequency of the drive signal is high enough to minimize

low-frequency (so called 1/f) noise common to analog electronics. There are 36

electrodes on each of the +/- DRIVE and +/- OUTPUT transducers. The gap between

221
Microseismometer: Prototype

the drive and output electrodes is 40 μm resulting in a capacitance of ~14 pF in

equilibrium when there is an equal overlap between all the electrodes.

Figure 6.4 (a) Configuration of the drive and output electrodes, and (b) alternate drive electrodes

are driven out-of-phase by a +DRIVE and –DRIVE square-wave pulse train. The output electrodes

provide a differential output signal.

To reduce the parasitic capacitive coupling between the electrodes on the proof mass

and the underlying silicon, a metal ground plane is used under the electrodes on the

proof mass.

6.3 Feedback Controller

A seismic acceleration can, in general, be decomposed into two parts: a steady-state or

“DC” signal due to the Earth’s gravity and a varying, or “AC” signal due to seismic

activity. Only the “AC” signal is of interest with regards to a seismometer. The “AC”

seismic signals are 160dB smaller than the “DC” gravitational signal. When a feedback

is used in such circumstances, the actuator is unable to exert a large enough force to

counteract the DC force and move the suspended proof mass to approximately a null

position without severely degrading the sensor self-noise as the noise generated by the

large actuator will dominate the instrument performance.

222
Microseismometer: Prototype

To enable the use of a low-force actuator thus maintaining the low-noise of the system,

the feedback controller is supplemented with an open-loop DC operation also known as

limit control. The limit control electronics allows the electrode arrays to transition

between null points. When the suspension is under a steady force, such as a

gravitational field, the proof mass is allowed to be displaced by many array periods of

electrodes to a new null position under open-loop operation. The feedback is then

reapplied.

The feedback drives the proof mass to the nearest null point. The preset limit is only

slightly more than the signal range required to drive the proof-mass between the

adjacent null points. Hence the actuator needs to be only strong enough to drive the

proof mass over a little more than one period of the electrodes.

The feedback force is proportional to the current through the feedback coil. By passing

the feedback signal through a capacitive differentiating circuit, the feedback force is

proportional to the derivative of the sensor output voltage thus generating ground-

velocity proportional output.

6.4 Electromagnetic Actuator

Electromagnetic actuators produce much higher force than their electrostatic

equivalents. A current can be driven through a coil with less noise than a high voltage

can be put on the electrostatic plates. Hence an electromagnetic actuator has less noise

than its electrostatic equivalent.

223
Microseismometer: Prototype

The magnetic circuit is composed of two sets of external magnets of flattened horseshoe

geometry on each side of the assembled dies. A set of rectangular spiral coils metallized

on the proof mass are connected to the external electronics. As current flows through

the coil, the proof mass experiences a lateral force (Ffeedback) from both arms of the

magnetic coil as given by Lorenz equation:

F feedback = BIL (6.1)

where B is the magnetic flux density, I is the current in ampere and L is the length of the

coil under the magnetic field.

The magnets are so designed that the two arms of the spiral coil are within the linear

portion of the magnetic field for most of their movement. The design of the magnet

yokes have a significant effect on the magnetic flux density and numerical simulation is

used to optimize the design (see section 6.5.3).

Figure 6.5 Perspective view of the magnetic circuit. The planar coil on the proof mass is enclosed by

magnetic field from the pair of magnets on either arm of the coil. The feedback force is generated

by running a current through the coil.

224
Microseismometer: Prototype

The dies are sealed at the edges using solder, so the traces are deposited under an

insulator bridge through the seal to avoid shorting.

6.5 Components of Microseismometer

The microseismometer is composed of three main mechanical sub-units – the proof-

mass die, the capping dies and the magnetic units. These three mechanical sub-units are

fabricated separately and then assembled together as described in section 6.6.

6.5.1 Proof-mass wafer

The proof-mass wafer contains the proof-mass dies which comprises of the suspension

and the circuit fabricated on the suspension. Figure 6.6 shows the complete proof-mass

die with the mechanical suspension and the integrated circuitry for control and

measurement of the suspension. The close-ups show the electrical traces running on the

springs and the coil fabricated on the proof-mass.

Figure 6.6 Proof-mass die showing the mechanical suspension with the circuit fabricated on top of

the suspension to control and measure the displacement of the proof-mass.

The proof-mass die consists of the mechanical resonating unit, a coil to drive the

suspension electromagnetically, a set of capacitive electrodes to pickup the

225
Microseismometer: Prototype

displacement of the proof-mass and a metal layer around the edge of the die (edge seal

layer) to connect and hermetically seal the proof-mass die to the capping die.

The key requirements for fabricating the features on the proof-mass wafer are:

1. First Insulator layer: to electrically isolate the metal traces on top of the proof-

mass and springs from the silicon substrate.

2. First Metal layer: to deposit a ground-plane under the drive electrodes on proof-

mass to eliminate capacitive coupling with the silicon substrate and for metal

links for coil and under edge seal (proof-mass capping wafer seal).

3. Second Insulator layer: to form a multiple loop coil for the electro-magnetic

actuator and forming a bridge over the metal link going under the edge seal.

4. Second Metal layer: to form the coil, traces along the springs and pads for solder

reflow.

226
Microseismometer: Prototype

Figure 6.7 Process schematic for the complete suspension fabrication with metal and insulator

layers.

Process flow for the full suspension fabrication is shown schematically in Figure 6.7.

The processing of the proof-mass wafer starts with a double sided polished single

crystal silicon wafer. 200nm of oxide is grown thermally in a dry furnace (step 1, Figure

6.7). A 1 μm thick layer of SPR1813 is spun on one side of the wafer and it is patterned

using the ohmic contact mask (step 2, Figure 6.7). The contact pads and the backside

oxide is etched in 49% buffered HF for 6 minutes. To form the ohmic contact, metal

lift-off process is used, a 75 nm layer of AuSb(1% Antimony) is evaporated followed by

a 25 nm NiCr(80/20 weight percent Ni/Cr) layer and then a 200 nm Au cap layer (step

3, Figure 6.7). The wafer is then taken out of the evaporator and the resist is stripped

before annealing it at 395 C for 1 minute. The contacts are then checked to confirm

227
Microseismometer: Prototype

whether they are ohmic, Figure 6.8 shows a typical I-V curve for ohmic contact pre-

anneal and post-anneal. The resistance of the ohmic contact is 200 ohms. An issue with

the ohmic contact pads is the formation of granular islands on the contact pad as seen in

Figure 6.9, even though the pads appears non-shiny the contact resistance was

consistent and the layer was mechanically stable.

Figure 6.8 (a) Contact resistance of pads prior to anneal, and (b) Post-anneal I-V curve for contact

pads showing a contact resistance of 200 ohms.

Figure 6.9 Contact pad post-anneal showing a rough surface, the brighter islands is the Au layer

which dissolves in the underlying NiCr layer.

228
Microseismometer: Prototype

Next a 30nm Cr adhesion layer and 200nm Au Metal-1 layer is sputter deposited on the

oxide layer(step 4, Figure 6.7). Subsequently a 0.5 μm thick layer of photoresist

(Shipley SPR 1813) is spun and patterned lithographically (step 5, Figure 6.7). The

metal layer is wet etched (step 6, Figure 6.7) to form the ground-plane for capacitive

shielding of the capacitive electrodes for pickup, interconnect for making multiple loop

coils and interconnects for connecting the traces to pads which are outside the edge seal

layer. The patterned metal-1 is shown in Figure 6.10. Figure 6.11 shows metal-1

interconnect for making the connection across the edge seal layer underneath the

insulator layer.

Figure 6.10 Mask layout of the metal-1 layer showing the ground-plane, interconnects for the coil

and traces. Metal 1 is 30nm Cr and 200nm Au and is patterned by wet chemical etching.

229
Microseismometer: Prototype

Figure 6.11 Metal-1 interconnect underneath the insulator layer for making the connection between

two segments of metal-2 across the edge seal layer.

The next step in the process is the deposition of the insulator layer which in conjunction

with metal-1 makes bridging under metal-2 layers possible. The insulator layer is photo-

definable Photoneece [6.5].which is a positive polyimide. Photoneece is spun coated on

the wafer, cured temporarily and then lithographically patterned (step 7, Figure 6.7).

After developing the patterned photoneece, it is cured at high temperature to form a

mechanically strong layer with sloping sidewalls suitable for running thin traces across

the edge of the insulator (Figure 6.12).

The photoneece insulator layer is used to cover the ground-plane for subsequent

fabrication of capacitive pickup electrodes on top. It also covers the metal-1

interconnects to bridge the metal-2 layer across the edge seal layer as seen in Figure

6.11.

230
Microseismometer: Prototype

Figure 6.12 Photoneece, a photo-definable positive polyimide is spin coated on the wafer and

lithographically patterned. The developed and cured photoneece layer has sloping sidewalls

suitable for running thin metal traces across the edge of the insulator pads (courtesy: Werner Karl).

The topmost layer is the metal-2 layer which forms the coil, traces, edge seal layer,

pickup electrodes and the pads for interconnectivity between the proof-mass die and

capping die (Figure 6.13). To fabricate the metal-2 layer, a thin layer of Cr/Cu (30

nm/200 nm) is first sputtered on the full wafer. The Cr/Cu layer is then spin coated with

a 10 μm photoresist (AZ9260) which is lithographically patterned to form the mold for

subsequent electroplating (step 8, Figure 6.7). The mold is then immersed in an

electroplating bath for plating Cu to a thickness of 5 μm (step 9, Figure 6.7) and then a

100 nm of Au is electroplated to protect the surface of Cu from oxidation (Figure

6.14a). The photoresist is then stripped and the initial seed layer is preferentially etched

from areas where there is no electroplated Cu/Au (Figure 6.14b).

231
Microseismometer: Prototype

Figure 6.13 Metal-2 mask layout showing the coil, traces, edge seal layer, pickup electrodes and the

interconnect pads for connectivity between the proof-mass die and capping die.

Figure 6.14 (a) Electroplated Cu in the photoresist mold, and (b) Electroplated Cu/Au after

removal of the photoresist mold and etching of the initial Cr/Cu seed layer.

Figure 6.15 shows the complete metal-1, insulator and metal-2 layers fabricated on the

proof-mass wafer prior to DRIE. After all the functional metal and insulator layers are

processed, the backside of the wafer is coated with 500 nm thick Al layer in a thermal

evaporator. The frontside of the wafer is then spun coated with a 14 μm thick layer of

photoresist (AZ9260) which is lithographically patterned and developed for etching the

232
Microseismometer: Prototype

suspension (step 11, Figure 6.7). The wafer is first etched in CHF3 plasma in RIE

(Reactive Ion Etching) system to etch the oxide layer (step 12, Figure 6.7). After oxide

etch the wafer is transferred to STS ICP Etcher for DRIE. The DRIE process is carried

out in two steps. The first step etches the wafer partially to a depth of approximately

350-400 μm (step 13, Figure 6.7). The wafer is then removed from the etching chamber

and mounted on a handle wafer using photoresist (step 14, Figure 6.7). The sandwich is

then etched further in the DRIE etcher for the full thickness of the wafer (step 15,

Figure 6.7). Due to the etch lag between the edge and center of the wafer, the complete

wafer is overetched to etch all the trenches on the wafer to full wafer thickness.

On completion of the etch, the wafer is released from the handle wafer by dissolving the

photoresist in a suitable solvent. The backside aluminum layer is wet etched and the

packing pieces fall off the wafer. The dies are separated by breaking the tabs which hold

them together.

Figure 6.15 Optical micrograph of the circuit on top of the proof-mass die prior to DRIE.

233
Microseismometer: Prototype

Figure 6.16a shows the proof-mass die with all the layers. The traces run on the top

surface of the springs (Figure 6.16b).

Figure 6.16 (a) A complete proof-mass die, and (b) Closeup of the metal traces on a spring

(courtesy: Werner Karl).

Assembly of the final device is undertaken by reflowing solder to create a seal around

the device die and to form an interconnect between the electrodes on capping wafer and

device wafer, this is discussed in section 6.6.

6.5.2 Capping wafer

The suspension die is enclosed between two capping dies (Figure 6.17) made of

borosilicate glass, the top one contains the pickup electrodes and the bottom die has a

cavity to reduce damping. The suspension die is bonded to the pickup die using solder

reflow, the bottom die is bonded to the suspension die using glass frit.

234
Microseismometer: Prototype

Figure 6.17 Exploded view of the capping and proof mass dies. The DT (Differential transducer) die

contains the drive LCAT electrodes. The cavity die is underneath the suspension die and is required

for vacuum encapsulating the suspension.

Process steps for the fabrication of the capping wafer is shown in Figure 6.18. The steps

are similar for both top and bottom capping wafer except the presence of metal

electrodes, and traces on the top capping wafer whereas the bottom capping wafer has

no metallization. Both the top and bottom capping wafers are then sand powder blasted

to form the cavities for decreasing the damping.

235
Microseismometer: Prototype

Figure 6.18 Schematic steps for metallization of the glass wafer and the subsequent sand powder

blasting to etch the cavities.

The top capping die contains the capacitive pickup electrode array for measuring the

displacement of the proof-mass. In addition it contains a seal ring on its edge. The seal

ring has solder deposited on it by either electroplating, jetting or manual solder ball

placement. The solder is then reflown to form the seal and electrical contact between the

capping die and the proof-mass die (discussed in section 6.6.1).

To form the capacitive electrodes, traces and the seal ring on the top capping die, a layer

of 30nm Cr adhesion layer followed by a 200nm Ni and then 100nm Au are sputter

deposited on the glass wafer. Subsequently a 500nm thick photoresist layer (Shipley

SPR 1813) is spun coated on the wafer and lithographically patterned. The metal layers

are then wet etched to pattern the features. Figure 6.19a shows the mask layout for the

236
Microseismometer: Prototype

metallization on the top capping die and Figure 6.19b shows the glass die with full

metallization.

Figure 6.19 (a) Mask layout for the top capping wafer metal layer, and (b) Top capping die with the

metal layer.

Subsequent to the metallization the wafer is powder sand blasted to form the cavity. The

powder sand blasting is done by Crystal Mark [6.6]. Powder sand blasting is a fast low-

cost, anisotropic glass etching process with aspect ratios of 1:6. A nozzle shoots a

stream of fine sand onto the substrate, the etching is done by the physical removal of

glass by the fine sand particles. A laser-cut pre-patterned polymer decal is stuck onto

the wafers to protect the regions of glass wafer which are not etched. The decal also

protects the underlying metal layers from exposure to the sand blasting.

Powder sand blasting creates sloping sidewalls which are quite suitable for creating

cavities to reduce gas damping. 0 shows a powder sand blasted top capping wafer, the

cavities are etched to the specified depth (800 μm) on the metal side of the capping

wafer and then the wafer is turned over and the opposite side is sandblasted with an

appropriate decal to create dicing lines for separating the capping dies. Figure 6.20

237
Microseismometer: Prototype

shows the etched cavity on one side of the wafer and the dicing etch from the other side.

Powder sand blasting is used to create the cavities in the top capping wafer for reducing

the gas damping.

Figure 6.20 On the top capping wafer, the side containing the circuit is powder sand blasted first to

etch the cavities, then the wafer is turned over and with a different decal dicing lines are etched

through the glass wafer. This allows for easy release of the dies (courtesy: Trevor Semple).

The bottom capping die is primarily to decrease damping by having a large cavity. The

bottom capping wafer is sand powder blasted to create the cavity to the desired depth on

one side and then sand powder blasted from the backside to create the dicing channels.

Glass frit is then screen printed on the wafer. The seal between the bottom capping

wafer and proof mass die is formed by glass frit bonding which is discussed in section

6.6.2.

6.5.3 Magnetic unit

The magnetic actuator comprised a yoke piece, on each yoke two Samarium Cobalt

(SmCo) rare earth magnets are mounted and on each magnet a pole piece is used to

focus the field. Figure 6.21 shows the schematic of the magnetic actuator components.

238
Microseismometer: Prototype

The coil on the proof mass lies within the magnetic flux lines and a current running in

the coil generates the feedback force as seen in Figure 6.22.

Figure 6.21 Magnetic circuit for the microseismometer comprising of magnets, yoke and coil. The

yoke closes the circuit between the set of magnets on either side of the die sandwich. The yoke

under the magnet smoothes the magnetic flux lines and creates a larger linear region for the coil to

move within.

Figure 6.22 Location of the coil within the magnetic field. For maximum deflection force the coil

should be in the linear region of the magnetic field.

239
Microseismometer: Prototype

Ansys electromagnetic simulation is used to calculate the magnetic flux density at the

coil on the proof-mass. The magnetic flux density if better concentrated when using

pole pieces on the magnets. The bevelling of the pole piece also affects the strength and

linearity of the magnetic flux density as seen in Figure 6.23.

Figure 6.23 Magnetic flux density at the coil for varying level of bevelling on the yoke. As expected

a sharper bevelling leads to a higher magnetic flux density but the linear range is reduced.

The magnetic components are glued to the device assembly. The soft iron yoke is

coated to prevent corrosion. The magnets used are SmCo rare earth magnets.

240
Microseismometer: Prototype

6.6 Device Assembly

Figure 6.24 shows the schematic cross-section of a fully assembled microseismometer

die, the proof mass and capping die is sandwiched between a set of magnets. The

magnetic circuit consists of four magnets and iron yokes.

Figure 6.24 Cross-sectional view of the microseismometer assembly showing the yoke, magnets, top

and bottom capping dies, proof mass die and electrode pads for signal readout and feedback.

The top capping die is attached to the proof-mass die using solder as discussed in

section 6.6.1. The bottom capping die is attached to the proof mass die using glass frit,

details of which are presented in section 6.6.2. The magnets are glued onto the yoke and

the pole pieces are held to the magnets by the magnetic field. The magnetic actuator

assembly is then glued on the outside surface of the top capping and bottom capping

die.

6.6.1 Solder reflow

Solder reflow is used to form a contact between the pads on proof mass die and top

capping die as the external pads are located on only proof mass die. In addition a solder

ring all around the proof mass die and top capping wafer die is reflowed to form a

hermetic seal. Figure 6.25 shows the schematic of the contact and solder seal between

the top capping die and proof mass die.

241
Microseismometer: Prototype

Figure 6.25 Schematic of the contact and seal between the top capping die and the proof mass die.

Solder reflow for bonding needs two wetting surfaces, one each on the two dies being

bonded together. Solder wetting is the ability of solder to stick to a specific metal

otherwise it will ball up to reduce its surface energy. Metals such as gold, copper and

nickel have good wetting property and are used for making the pads where solder is

initially deposited and also the pads which are ultimately bonded during solder reflow.

For the microseismometer, the top capping wafer contains a layer of Cr/Ni/Au on top of

which the solder is deposited. On the proof-mass wafer, the bonding pads are made of

Cr/Cu/Au.

Various methods have been investigated for solder reflow like solder printing, solder

paste screen printing, solder electroplating and solder ball placement either manually or

using deep etched grooves.

Figure 6.26 (a) Solder electroplating, (b) Solder jetting, and (c) Solder ball placement (courtesy:

Trevor Semple).

242
Microseismometer: Prototype

Solder ball placement using grooves etched in silicon by DRIE is one of the promising

methods where holes in a silicon wafer are etched which hold the solder balls. The balls

are transferred onto the main wafer (glass top capping wafer with reflow pads) by

application of flux to the reflow pads which are then reflown in-place. Figure 6.27

shows the schematic of the transfer of solder balls using a wafer with grooves for

defining the location of the solder balls.

Figure 6.27 Mechanism of solder ball transfer using grooves on wafers, the grooves are etched

using DRIE. The solder balls are transferred to the top capping die. The top capping and proof-

mass dies are then aligned and bonded.

243
Microseismometer: Prototype

6.6.2 Glass frit bonding

Glass frit is screen printed on the bottom capping wafer, the bottom capping die and

proof mass die are then aligned and heated to 350 C at which temperature the frit melts

and forms a seal between the two dies (Figure 6.28).

Figure 6.28 Glass frit bonding to form seal between the bottom capping die and the proof mass die.

The glass frit bonding is done at a higher temperature than solder reflow temperature so

glass frit bonding step is performed prior to the solder reflow step.

6.6.3 Vacuum encapsulation

To encapsulate the mechanical resonator in vacuum the solder and glass frit bonding

need to be hermetic. The vacuum encapsulation is performed during the solder reflow

which is subsequent to the glass frit bonding. The top capping die and the proof-mass

die are aligned and placed in the reflow chamber. A reducing gas is then introduced

inside the chamber to remove oxide from the surface of the solder. The chamber is then

evacuated and the temperature of the assembly is raised so that the solder reflows and

wets the pads on the proof-mass die. Figure 6.29 shows the schematic of the vacuum

encapsulation. The region marked by the red square represents the hermetically sealed

cavity which contains the suspension.

244
Microseismometer: Prototype

Figure 6.29 Schematic of the hermetically sealed cavity enclosing the mechanical resonator.

6.7 Microseismometer Damping

The thermal noise of the suspension increases with the damping of the suspension.

Damping in these suspensions is a sum of materials damping in the spring itself and gas

damping, which at atmospheric pressures is dominated by viscous forces and is

independent of pressure. The material damping will consist of damping in the silicon

itself, expected to be very small, and losses in the surface layers resulting from the

DRIE, potentially a much larger contribution. As we measured in section 5.2.2 Q was

increased from 40,000 to 250,000 a 6 fold increase by removing the polymer coating

from the spring sidewall.

The overall quality factor of the suspension depends on the sum total of the loss

associated with the mechanical anchors, squeeze film, Couette flow, and Poiseuille flow

damping as well as bulk losses associated with material defects and thermo-elastic

damping (TED). The quality factor of the device due to gas damping is given by

1 1 1 1 (6.2)
= + +
Qgas Qsqueeze Qcouette Q poiseuille

Analytical model to calculate squeeze film, Couette flow, and Poiseuille flow damping

are discussed in [6.7-9]. Table 6.5 contains the dimensional parameters used to calculate

the gas damping for the fully assembled microseismometer.

245
Microseismometer: Prototype

Table 6.5 Suspension dimensional parameters for damping calculation for microseismometer.

Parameters Value

Air viscosity, η 1.87×10-5 kg/m3/s

Silicon density, ρ 2.33 × 103 kg/m3

Suspension resonance frequency, f0 10 Hz

Cross-sectional area of the spring, Aspring 24 μm × 525 μm

Length of spring, lspring 8.032 mm

Number of spring, N 4

Thickness of springs, t 525 μm

Temperature, T 25 C

Mean free path λ at 1 atm 70.0 ±0.7 nm

Spacing between springs, dspring 410 μm

Gap between coil and pickup electrodes, dgap 40 μm

Depth of cavity over proof-mass, hcavity 800 μm

Depth of cavity under proof-mass, hfrit 150 μm

Area of proof-mass, Aproof-mass 187.55 mm2

Area of electrode array, Aelectrode 63.76 mm2

Mass of proof-mass, mproof-mass 2.66×10-4 kg

The theoretical Q-factor related to squeeze flow damping is given as

2πf 0 Aspring ρNd spring


3 (6.3)
Qsqueeze =
14ηl spring t 2

Using equation 6.3 Qsqueeze ≈ 14000 for a suspension with two set of springs.

246
Microseismometer: Prototype

Couette flow damping for a gap with thickness d is given by

d gap (6.4)
Qcouette = 2πfm proof −mass
ηAproofmass

where dgap is the distance between the proof mass and the capping wafers and is divided

into two components, one for the couette damping over the electrode array which is

much closer to the proof-mass and the other between the proof-mass and the top and

bottom cavities. Using equation 6.4, Qcouette ≈ 500.

Poiseuille flow damping due to transfer of fluid between volumes is given by

h2 (6.5)
Q poiseuille = km
12ηAproofmass

where h2 = (dgap2 + (hcavity + hfrit)2). Using equation 6.5, Qpoiseuille ≈ 700.

The Q for the assembled device is then calculated from equation 6.2, Qgas ≈ 290.

Calcualting the noise equivalent acceleration (NEA) for the device in air using equation

1.4, NEA = 3.65×10-9 ms-2/√Hz. The NEA of the device is lower than the targeted NEA

for the suspension. Couette flow damping is the dominant term in determining the

overall Q of the suspension, so reducing the damping by increasing the gap between the

proof-mass and the capping dies will lead to a higher overall Q enabling the suspension

to meet the target noise level.

6.8 Experimental Result

The fully assembled device is then mounted on a single axis tilt holder. The output of

the coil on the proof-mass is measured using a network analyzer. Figure 6.30 shows a

fully assembled device.

247
Microseismometer: Prototype

Figure 6.30 A fully assembled microseismometer, for size reference a British penny is placed next to

it (courtesy: Werner Karl).

6.8.1 Experimental measurement setup

The microseismometer evaluated here is a single-axis Galperin design. The output from

the coil is measured using a network analyzer. White noise is fed into one of the coils

on the suspension and the response from the other coil is measured by the network

spectrum analyzer. Figure 6.31 shows the measurement setup and schematic of the

measurement. The microseismometer is mounted on a tilting platform which is tilted to

54.74 as shown in a close-up of the conditioning unit in Figure 6.32; the conditioning

unit contains the analog to digital converter and feedback circuit.

248
Microseismometer: Prototype

Figure 6.31 Experimental measurement setup for measuring the resonant frequency and Q-factor

of the prototype microseismometer.

Figure 6.32 Close-up of the electronic conditioning unit containing the microseismometer.

6.8.2 Microseismometer dynamics measurements

Measurement of the resonant frequency and quality factor is carried out for an

assembled microseismometer. Under the external magnetic field the coil on the

249
Microseismometer: Prototype

suspension generates a current which we measure to find the response of the

suspension.

The resonant frequency for the suspension is calculated to be 12.35 Hz from the

response plot (Figure 6.33) which shows the current output of one of the coils under an

external magnetic field.

Figure 6.33 Response plot for the suspension. The coil generates a current when driven under the

external magnetic field. The peak in the response curve corresponds to the normal resonant modes.

The first peak is at a frequency of 12.35 Hz.

The Q-factor of the suspension is measured by doing a ringdown test on the suspension

(Figure 6.34). The current from the coil reduces as the vibration dies down. We

calculate the decay time for the amplitude and calculate the Q-factor using equation 2.5.

250
Microseismometer: Prototype

Figure 6.34 Ringdown test of the suspension. The current generated in the coil reduces as the

vibration decays.

The Q-factor for the microseismometer is calculated to be Q = 250 from a time decay

constant τ = 6.5 seconds. The NEA for the device is calculated using equation 1, NEA =

3.89×10-9 ms-2/√Hz.

6.8.3 Vibration and shock test

The robustness of the silicon suspension to either vibration of shock is a critical

parameter in device performance. We look at the failure modes in response to vibration

and shock. As the silicon suspension is part of the microseismometer sensor assembly,

we need to set shock and vibration to satisfy the following conditions:

1. Transport of the microseismometer sensor assembly using ground and air transport

for final assembly as a system.

2. Transport of the complete system to the deployment destination.

251
Microseismometer: Prototype

3. Launch shock and vibration involved in transporting the system in space.

When the sensor assembly is part of a full fledged microseismometer (system), the

suspension can be actively damped to avoid shock and vibration, in which case only

vibration and shock test on the complete assembly is of concern. Testing of the

complete system is to be undertaken by the assembler (Kinemetrics for terrestrial

microseismometer) and is not considered here. Far more important for this work is the

shock and vibration response of a bare die or a packaged die (with capping dies and

magnets) to transportation vibrations and shock level. The bare die is not transported

out of the MEMS facility without the capping dies and the magnetic assembly so the

transportation of packaged die for final assembly is the point of highest vulnerability.

Using US Department of Defence guidelines for vibration levels in transportation

specified in MIL-STD-810F section 514.5[6.10], a composite vibration envelop is

designed [6.11], shown as transportation exposure in Figure 6.35. The transportation

composite includes the worst vibration environments for truck, rail, and air

transportation. Truck transportation provides the worst vibration environment at lower

frequency levels, while air transportation is worst at higher frequencies.

The composite transportation vibration envelop starts at 6 Hz (the lowest level the

testing equipment can attain) and is flat at 0.015 g2/Hz to 65 Hz at which point it

increases at approximately 6dB/Octave till it gets to 0.025 g2/Hz at 100 Hz. The

vibration envelop is flat at 0.025 g2/Hz till 500 Hz and then drops at -6dB/Octave to

0.0016 g2/Hz at 2000 Hz. The total RMS g level for the transportation composite is

around 5g.

252
Microseismometer: Prototype

0.1

Acceleration spectral density (g /Hz)


2

0.01

Ariane acceptance
Transportation
Resonance
Ariane super
Ariane qualification
0.001
1 10 100 1000 10000
Frequency (Hz)

Figure 6.35 Minimum intensity exposure for transportation of packaged die using a combination of

truck, rail and air transport.

The suspension die was clamped between the DT and cavity die for the vibration and

shock measurements. The packaged suspension was then placed on a Ling Dynamic

Shaker [6.12] (Figure 6.36) at AOPP [6.13], University of Oxford. The vibrator has a

maximum force rating of 8 kN (up to 16 kN with additional modules), the vibration and

shock profiles can be customized. To test the response of packaged sensor, it was placed

in the Galperin configuration on the test bench and the vibrator was programmed using

the Transportation composite vibration profile. The suspension failed around the spring

linkages and also on the frame –listing and picture of the failures are:

1. Fracture at spring linkages (Figure 6.37).

253
Microseismometer: Prototype

2. Damage at the corners of the intermediate frames and proof-mass due to the corners

colliding with each other (Figure 6.38).

The two classes of failures can be classified as complete fracture and surface spallation.

The packaged suspensions were able to sustain shock up to 75g laterally and 35g

vertically.

Figure 6.36 Ling Dynamic Shaker at AOPP, University of Oxford used for doing shock and

vibration analysis of packaged suspension.

Figure 6.37 Fracture of the spring at the linkage.

254
Microseismometer: Prototype

Figure 6.38 Frame and proof-mass damage due to collision at the corners.

To avoid failures at the linkages, the geometry was modified and the filleting was

improved. Figure 6.39 shows the new designs for the linkage. The designs were based

on the FEA analysis carried out in section 3.5.

Figure 6.39 Redesigned linkages to reduce the stress concentration.

To avoid the frames and proof-mass colliding, a variety of damping structures were

designed as shown in Figure 6.40. The design philosophy behind cavity and comb

finger dampers is an increase in viscous damping as the protrusion enters the etched

cavity thus increasing the damping to frame motion. In the spring constraining tabs, the

255
Microseismometer: Prototype

frames are restricted in motion by being inside an envelop which stops the springs from

colliding with the frames. The frame spring damper places a spring at the end of the

frames thus dissipating some of the vibration energy.

Figure 6.40 Damper designs to avoid frames and proof-mass collision, (a) Cavity damper, (b) Comb

finger dampers, (c) Spring constraining tabs, and (d) Frame spring damper.

A new set of suspensions were fabricated incorporating the redesigned linkage

geometry and the various damping structures. The packaged suspension was then

exposed to transportation composite vibration levels without any failure. The vibration

level was then increased to Ariane acceptance levels (Figure 6.35) which is the

minimum vibration characteristics for a launch using ESA’s Ariane rockets. The

packaged suspensions survived the vibration levels without any failure. The vibration

level was then increased to Ariane qualification and subsequently to Ariane super which

is higher vibration levels than is required for a space launch mission. The linkages did

256
Microseismometer: Prototype

not undergo any failure. The damping structures had some failures as shown in Figure

6.41 which is mostly fractured pieces of silicon on the proof-mass and frames. The

frame springs work well at reducing the damage.

Figure 6.41 Damage to the frames and proof-mass at Ariane super vibration levels for packaged

suspension.

Figure 6.42 Friction damage on proof-mass due to cavity die support fingers.

257
Microseismometer: Prototype

There was additionally some frictional damage to the proof-mass from the cavity die

(Figure 6.42). This will not affect the device performance and can be fixed by changing

the cavity die.

6.9 Other Issues

A seismometer is most affected by tilt, temperature and pressure. Tilt is a major issue

for a horizontal seismometer but does not pose significant problems for the Galperin

configuration. The equilibrium between gravity and spring force is disturbed when the

temperature changes so the seismometer needs to be compensated for it. Pressure causes

variable buoyancy on the proof mass when it is not sealed and even when sealed it can

cause temperature difference as well as deformation of the complete assembly. As we

saw in chapter 5, the Q for the proof mass changes with pressure. For low self-noise it is

desirable to have a very high Q which is attained at low-pressure, this requires the

mechanical unit to be vacuum encapsulated. The small size of microseismometer is

suitable for vacuum encapsulation.

6.10 Summary

A complete seismometer sensor unit comprises of the motion sensing and feedback

circuit besides the mechanical suspension. For the microseismometer a lateral

differential capacitive array transducer (LCAT) is used to measure the motion of the

suspension. The feedback circuit keeps the proof-mass centred, thus increasing the

dynamic range of the sensor. In the microsesimometer an electromagnetic actuator,

consisting of a coil on the proof-mass, and a pair of permanent magnets, placed on

either side of the proof-mass, is used to provide the feedback force.

258
Microseismometer: Prototype

The full fabrication steps for the proof-mass die are presented. Besides the silicon lateral

suspension fabricated using DRIE, the suspension contains metallization and insulator

layers to form the LCAT pickup electrodes and the coil for the electromagnetic

feedback actuator. A full fledged Metal-Insulator-Metal-DRIE process flow is

presented. The recipe for the complete process is presented in Appendix C.

Fabrication steps for the DT capping wafer and Cavity capping wafer are summarized.

Sand blasting is used to etch glass to create the cavities and dice the dies.

The magnetic unit contains a pair of magnets either side of the PM die. The magnets are

Samarium Cobalt (SmCo) rare earth magnets. The yoke pieces are soft iron and the pole

pieces are bevelled to focus the magnetic field. FEA is used to determine the bevelling

angle for the pole pieces to improve the linearity of the magnetic flux density over the

coil.

A microseismometer prototype is assembled by bonding the cavity die to the PM die by

glass frit reflow. The DT die is bonded to the PM-cavity sandwich by solder reflow.

Solder balls or electroplated solder is used to form the pads used to bond the dies

together.

The dynamics of the microseismometer prototype are then determined by measuring the

current off one of the coils while the other coil is used to actuate the suspension using

white noise electrical signal. The suspension is measured to have a resonant frequency

of 12.35 Hz and a quality factor of 250.

259
Microseismometer: Prototype

The packaged dies also undergo vibration and shock testing. The linkages and frame

dampers are modified to build suspensions that can withstand rocket launch and

multiple modes of ground and air transportation. The suspension also withstood 75g of

lateral and 35g of vertical shock.

The silicon suspension fabrication was done by the author. The metal layers and

insulator layers were processed by Werner Karl with contributions from the author,

Trevor Semple and Sanjay Vijendran. The solder and glass frit assembly was performed

by Trevor Semple with contributions from Tom Pike, Werner Karl and the author. The

characterization was done by the author with contributions from Tom Pike and Werner

Karl.

6.11 Conclusion

We demonstrate a fully functional microseismometer sensor assembly. The packaged

suspension has a Q of 250 in air with a NEA of 0.4 ng/√Hz which is higher than the

target NEA of 0.35 ng/√Hz. The couette flow damping dominates and would need to be

reduced to increase the Q for the suspension in air. This is achieved by increasing the

gap between the pickup electrodes but at the expense of reducing the sensitivity of the

device. Ultimately the suspension is planned to be vacuum packaged which will

completely eliminate viscous damping and allow the suspension to have lower noise

than the target.

Shock and vibration tests demonstrate suspension that is suitable for terrestrial and

space deployment. The practicality of building a microseismometer around the

suspensions looks even more lucrative given the robustness of the suspensions.

260
Microseismometer: Prototype

6.12 Bibliography

[6.1] W. T. Pike, I. M. Standley, and A. Trnkoczy, Micro-machined accelerometer,


US Patent US6776042: Kinemetrix, Inc,
[6.2] W. T. Pike, I. M. Standley, and R. R. A. Syms, Improved micro-machined
suspension plate with integral proof mass for use in a seismometer or other
device, US Patent US7036374: May 2, 2006
[6.3] G. T. A. Kovacs, Micromachined transducers sourcebook, McGraw-Hill, 1998.
[6.4] B. Li, D. Lu, and W. Wang, "Micromachined accelerometer with area-changed
capacitance," Mechatronics, vol. 11, pp. 811-819, 2001.
[6.5] Photoneece, "Toray,"
http://www.toray.co.jp/english/electronic/products/pro_b001.html.
[6.6] Crystal Mark Inc., 613 Justin Ave., Glendale, CA 91201, USA
http://www.crystalmarkinc.com/.
[6.7] M. Andrews, I. Harris, and G. Turner, "A comparison of squeeze-film theory
with measurements on a microstructure," Sensors and Actuators A: Physical,
vol. 36, pp. 79-87, 1993.
[6.8] Y.-H. Cho, A. P. Pisano, and R. T. Howe, "Viscous damping model for laterally
oscillating microstructures," Journal of Microelectromechanical Systems, vol. 3,
pp. 81-87, 1994.
[6.9] T. Corman, P. Enoksson, and G. Stemme, "Gas damping of electrostatically
excited resonators," Sensors and Actuators A: Physical, vol. 61, pp. 249-255,
1997.
[6.10] MIL-STD-810F, "Environmental Engineering Program Guidelines,"
http://www.dtc.army.mil/navigator/, 2002.
[6.11] I. M. Standley, "NEMS Devices Shock and Vibration Levels," Internal
Document, Kinemetrics, Inc., Pasadena, CA USA 2006.
[6.12] Ling Dynamic Shaker, LDS-Group, http://www.lds-group.com.
[6.13] Vibration testing, Atmospheric, Oceanic and Planetary Physics, University of
Oxford, http://www.atm.ox.ac.uk/main/facilities/vibration_testing.html.

261
Seven
7 Conclusions and Future Work

This thesis describes the design, analysis and fabrication of single crystal silicon lateral

suspensions. The suspensions are designed to have a low resonant frequency and a large

proof-mass. The suspension is made from single crystal silicon (SCS) which is a

popular semiconductor material with extremely high Young’s modulus, yield strength

and a very low material defect and a wide repertoire of standard fabrication tools. The

availability of high aspect ratio micromachining tools like STS DRIE etcher enables us

to fabricate thick lateral suspensions out of silicon.

The suspension so designed is for use as a seismic mass in a seismometer.

Seismometers are able to detect extremely low level vibration signals. The fundamental

limit to a sensors ability to resolve a vibration signal is set by the overall noise of the

sensor which comprises the electronic and mechanical noise of the sensor. In this thesis

our primary concern is with reducing the mechanical noise of the sensor. The

mechanical noise floor is determined by the Brownian noise of the suspension given as

noise equivalent acceleration (NEA) which is inversely proportional to the mass, time

period and quality factor of the suspension. This is the reason why the suspension

designed here has low resonant frequency (long period), relatively large mass

(compared to MEMS vibration sensors) and high quality factor through use of SCS.

262
Conclusions and Future Work

The lateral suspensions are fabricated by through-wafer DRIE of SCS wafers. The

suspensions have resonant frequencies of around 10 Hz, a proof-mass weighing 0.22

grams and a quality factor that varies from 1000 at atmospheric pressure to 40,000 in

UHV and as high as 250,000 with proper post processing to remove any lossy materials

from the suspension.

To understand the suspension dynamics and explore the design space to maximize

cross-axis rejection ratio while keeping the fundamental mode low, an analytical and

FEA model is used. The models were extended to include the effect of DRIE on profile

shape of the spring beams. The model estimates of the suspension modes were in

excellent agreement with the observed values thus providing an invaluable tool for

designing lateral suspensions.

A prototype microseismometer is assembled with electrostatic motion sensing and

electromagnetic feedback circuit. The microseismometer demonstrates a noise floor of

0.4 ng/√Hz at atmospheric pressure. The packaged suspensions are also robust to

vibration exposure for transportation and space launch and to shock levels of 75g

laterally and 35g vertically. Vacuum packaging the suspension should lower the noise

floor by an order of magnitude. Some of the other topics that need to be addressed in

future are discussed in the subsequent sections of this chapter.

263
Conclusions and Future Work

7.1 Microseismometer Characterization

The fully integrated microseismometer is still under fabrication and the complete device

when ready needs to be characterized to find its overall self-noise, bandwidth,

temperature sensitivity, power consumption, and linearity.

Vibration and shock testing of a vacuum packaged suspension needs to be performed as

the Q for the suspension is extremely high in vacuum and can lead to substantial

damage particularly near the resonant frequency.

7.1.1 Alternate designs

In the current design, magnetic actuation was used for feedback control of the proof-

mass, and capacitative pickup was used to read the displacement of the proof mass.

Alternate system designs should be investigated that have fully electrostatic actuation

and pickup or with optical pickup to see if they have any benefits over the current

design.

7.1.2 Fully electrostatic geophone

Geophones are low-cost devices and so the assembly required for the current device is

not suitable for such a systems. An electronically actuated system is proposed which

can be further developed into a commercial system. Figure 7.1 shows the design layout

and a fabricated device.

264
Conclusions and Future Work

Figure 7.1 (a) 2D model of a fully electrostatic inertial sensor, (b) a fabricated geophone.

The fabrication process uses the same processing used for fabricating the PM die but

without the additional processing of capping wafers or packaging concerns.

7.2 Modelling Extension

The analytical and numerical models used for the suspension in the thesis show good

correlation with experimental results. They can be further expanded and applied to

design and analyze other systems.

The numerical model can be developed further to include fluid flow for damping

estimation. This will allow better understanding of the damping mechanism in the

lateral suspension. Further work can also be carried out on electrostatic simulation both

analytically and numerically of capacitive pickup electrodes.

265
Conclusions and Future Work

Damping structures like fingers and springs (Figure 7.2) to engage and increase

damping when the suspension is undergoing its maximum throw were tried though there

is still a need for optimization of these structures.

Figure 7.2 Damping structures to increase damping when the suspension is at its maximum

deflection.

Another method to reduce the incidences of failure is by metal plating near the contact

points and self-caging of the resonant structure. These methods will work by increasing

energy dissipation using the metal structures and reducing the impact energies.

The shock tests reported in this thesis were all done at atmospheric pressure thus the

suspension was viscously damped. The microseismometer is expected to use a vacuum

packaged suspension which will have extremely high Q of the order of 10,000 – 40,000

or even higher. Feedback based damping will most likely be required to passively damp

the suspension by shorting the coils on the suspension through a large resistor.

7.2.1 Application to other inertial sensors

Resonating mass systems are popular with inertial sensor designers and the model, and

fabrication technique can be easily expanded into making other inertial sensors like tilt

meters, gyroscopes, stress sensors etc.

266
Conclusions and Future Work

7.2.2 Application to other devices

Another area where lateral suspensions can be expanded is actuators; the design is

suitable for making long range motion actuators which have extensive use in optical

systems, biological sample handling etc.

7.3 Applications of Through-Wafer DRIE

A major contribution of the thesis is the demonstration of a reliable fabrication method

via through-wafer etching. In addition metallization and insulation deposition methods

developed as part of the work can be used for making a wide range of devices.

7.3.1 Other through-wafer devices

Figure 7.3 shows some other through-wafer devices fabricated using the process

developed for the microseismometer. This shows the universality of the processing

mechanism. Through-wafer etching is not only suitable for creating very thick single

crystal silicon inertial sensors but in many cases can replace devices created on SOI.

The cost advantage of a single wafer and no release can outweigh the advantages of

SOI.

267
Conclusions and Future Work

Figure 7.3 Some prototype devices fabricated using the MIMD (Metal-Insulator-Metal-DRIE)

process, (a) geophone sensor, 5mm × 5mm, (b) μSEM components, (c) a tuning-fork gyroscope, (e)

comb drive based suspension.

7.3.2 Silicon molding

This work is done by the author in collaboration with Michael Larsson. We have

developed a new method for electroforming tall metal structures using high aspect ratio

(HAR) silicon molds. Mold fabrication is realized by through-wafer deep reactive ion

etching (DRIE). DRIE has been used for forming molds for making polymer [7.1, 2],

polysilicon [7.3, 4] and electroplating metal structures [7.5] The advantage of the

current technique is the ease of mold separation and an extremely high life of the mold.

268
Conclusions and Future Work

The method is further extended to create metal structures suspended from the substrate.

The technique is particularly conducive to low-cost fabrication of tall structures, similar

to those possible with LIGA. Replica release is facilitated by an insulating conformal

polymer release layer deposited on mold sidewalls. Structures hundreds of micrometers

tall have been electroformed exhibiting extremely smooth sidewalls. The latter is

comparable with typical sidewall quality achievable by LIGA and significantly better

than that achievable with other known molding techniques.

The method described here employs through-wafer silicon etching to realize HAR

molds. Tall metallic structures can be electroplated within through-wafer etched inserts,

passivated by a conformal polymer release layer. The layer smoothes mold sidewall

roughness and facilitates replica release.

Figure 7.4 Fabrication sequence for the silicon mold, substrate preparation, electroplating, and

release.

269
Conclusions and Future Work

Mold fabrication and electroforming steps are shown in Figure 7.4. The silicon mold is

formed via through-wafer DRIE, with mask design and process parameters optimized

for vertical or slightly outward tapering smooth sidewalls. To make structures

completely enclosed within other structures, bridges are designed, allowing the

fabrication of shapes such as rotors with hubs without the need for assembly (Step 3 in

Figure 7.4). The silicon mold is subsequently sputtered with a thin coating of Cr/Cu,

allowing a conformal coating of polymethylmethacrylate (PMMA) to be applied via

electrodeposition from a heated solution of Shipley Eagle ED2100 (Figure 7.5). The

coating is baked at 50 °C for 30 minutes to achieve coalescence and improve adhesion

with the underlying seed layer. Low temperature baking reduces reflow along feature

edges and promotes leak-free sealing with the substrate. After electroplating, the mold is

removed by dissolving the release layer in an organic solvent. Metal structures as tall as

230 μm are electroplated (Figure 7.6) and the sidewall rms surface roughness is

measured to be between 15-25 nm. Suspended structures are created in a similar manner

by electroplating on a substrate with the sacrificial layer defining a gap beneath the

structures. Figure 7.7 shows 50 μm thick suspended structures separated from the

substrate by a gap of 14 μm.

The technique of electroplating metal structures using a reusable silicon mold enables

low-cost, mass production of metal microstructures. Mold lifetime is prolonged through

the presence of a release layer, which additionally smoothes mold sidewalls. The use of

bridges and the possibility of fabricating suspended microstructures reduce the need for

manual assembly. Even taller structures can be created by stacking multiple anodically

bonded molds. The process will be developed to create reliable tall micro-structures.

270
Conclusions and Future Work

Electrodeposited
release layer

Silicon Mold

Figure 7.5 SEM image of a silicon mold after DRIE (inset shows the mold with a 10 μm thick

PMMA release layer).

mm
500

236 mm

Figure 7.6 SEM image of a molded metal test structure electroplated to 236 μm height. The sidewall

is vertical except where the structure is anchored to the substrate. Reflow of the release layer forms

a hump at the mold-substrate interface leading to profile variation.

271
Conclusions and Future Work

50 mm

15 mm

100 mm

Figure 7.7 Suspended metal structures molded using the fabrication steps depicted earlier. The

plated structure is 50 μm high and is suspended 14 μm over the base plate.

The technique can be developed into a reliable process for making tall metal structures.

7.3.3 Single mask through-wafer devices

Through-wafer etching can be used to make single mask devices where the mechanical

element is fabricated by through-wafer etching and the metal is deposited on the device

using a shadow mask which is made by through-wafer etching.

The process steps are shown in Figure 7.8. The mechanical structure is fabricated by

through-wafer etching the device wafer using a single mask. The corresponding shadow

mask for depositing the metal layers is also prepared by through-wafer etching another

wafer (can be thinned for better resolution). The shadow wafer is placed on top of the

device wafer and then placed in a sputter coater where the metal layers are deposited

through the holes in the shadow mask onto the device wafer. The sputtered layer due to

its isotropic nature deposits on all the surfaces exposed through the shadow mask. This

272
Conclusions and Future Work

is especially useful in devices like lateral comb drives where a metallic layer on the

whole surface of the finger improves the electrical characteristics.

Figure 7.8 Process schematic for single-mask DRIE device fabrication.

7.3.4 SOI replacement through-wafer devices

Thermal isolation is many times very desirable in small-scale devices to reduce the

effect of temperature variation. Zhang and Najafi [7.6] demonstrated a silicon oxide

isolation trench formed by DRIE etching of thin trenches and oxidation to form a

thermally isolating mechanically strong bridge. A processing system can be developed

to make the microseismometer suspension on a similar thermally isolated island. The

method can also be expanded to form structures that are electrically isolated from the

substrate by channels which are anchored mechanically but are electrically insulating

from the substrate.

273
Conclusions and Future Work

Figure 7.9 Process schematic of a SOI replacement through-wafer process.

This scheme can be used for creating devices which has several different modules

which need to be electrically isolated. The ability to electrically isolate them which still

lithographically aligned eliminates any manual alignment. An example would be a in-

plane actuator composed of several moving arms all actuated by its own set of comb

drives.

274
Conclusions and Future Work

7.4 Bibliography

[7.1] Y. J. Zhao and T. H. Cui, "Fabrication of high-aspect-ratio polymer-based


electrostatic comb drives using the hot embossing technique," Journal of
Micromechanics and Microengineering, vol. 13, pp. 430-435, 2003.
[7.2] L. P. Yeo, S. L. Poh, Y. C. Lam, and M. B.-E. Chan-Park, "Plasma
polymerization of C4F8 thin film on high aspect ratio silicon molds," Innovation
in Manufacturing Systems and Technology (IMST), 2005.
[7.3] A. Selvakumar and K. Najafi, "High Density Vertical Comb Array
Microactuators Fabricated Using a Novel Bulk/Polysilicon Trench Refill
Technology," presented at 1994 Solid-State Sensor and Actuator Workshop,
Hilton Head, South Carolina, pp. 138-141, June 13-16, 1994.
[7.4] C. Keller and M. Ferrari, "Milli-Scale Polysilicon Structures," presented at 1994
Solid-State Sensor and Actuator Workshop, Hilton Head, South Carolina, pp.
132-137, June 13-16, 1994.
[7.5] D. Sander, R. Hoffmann, V. Reiling, and J. Muller, "Fabrication of metallic
microstructures by electroplating using deep-etched silicon molds,"
Microelectromechanical Systems, Journal of, vol. 4, pp. 81-86, 1995.
[7.6] C. Zhang and K. Najafi, "Fabrication of thick silicon dioxide layers using DRIE,
oxidation and trench refill," presented at 15th IEEE International Conference on
Micro Electro Mechanical Systems MEMS 2002 (code 59062), Las Vegas, NV,
United States, pp. 160-163, 2002.

275
Appendix A

Appendix A: Analytical model

Derivations of Analytical Expressions for suspension spring stiffness.

(The derivations were originally done by William T. Pike and are presented here after
being re-derived by the author.)

A1. Translational Modes

A1.1 On-axis compliant stiffness (kx):

The suspension spring unit can be decomposed into a set of beams with rigid end
connectors as shown in figure a1.

Figure a1: Fixed-fixed beam.

The maximum deflection of the beam is given for fixed-fixed beam by Roark [A.1]

FL3 (a.1)
δx =
192 EI x

where E is the Young’s modulus of rigidity, and Ix is the area moment of inertia of the
beam about X-axis.

Spring stiffness for the fixed-fixed beam is then

F 192 EI x (a.2)
k= =
δx L3

The two beams in each spring are in parallel, so the effective spring stiffness for the
spring unit is half the stiffness of one of the beams.

k (a.3)
k spring =
2

The two spring units on either side of the proof-mass is in series, doubling up the spring
stiffness for the complete suspension.

276
Appendix A

k 192 EI x (a.4)
kx = 2 × =k=
2 L3

L = 2l, length of a beam from the linkage to the end connector, so the spring stiffness is

24 EI x (a.5)
kx =
l3

For suspension with n spring sets, the spring constant along X-axis will be kx/n.

A1.2 Cross-axis spring constant along Y-axis (ky):

A force acting along Y-axis is taken to derive the deflection of a folded cantilever beam
as shown in figure a2.

Figure a2: Free body diagram of the spring elements for calculating spring constant
along Y-axis.

From Roark [A.1], the angular displacement for a cantilever is given by

ML Fls (a.6)
θ= =
EI x 2 EI x

where E is the Young’s modulus of rigidity, and Ix is the area moment of inertia of the
beam about X-axis and M=Fs/2 is the moment acting on the beam.

The lateral deflection along the Y-axis for the folded cantilever beam is then calculated
as

Fls 2 (a.7)
δy = sθ =
2 EI x

The spring stiffness for the folded cantilever beam is then calculated as

277
Appendix A

F 2 EI x (a.8)
k= = 2
δy ls

For the mirrored pair of folded cantilever beam, the springs are in series so the spring
stiffness for a single spring unit is 2k. The suspension has two units of spring on either
side of the proof-mass, the spring units are in series leading to a total spring stiffness in
the y direction for the suspension to be

8EI x (a.9)
k y = 4k =
ls 2

For suspension with n spring sets, the spring stiffness will be ky/n.

A1.3 Cross-axis spring constant along Z-axis (kz):

The spring stiffness for a folded cantilever spring is made of two components: twisting
of the beam due to the moment generated in the elbow and bending of the beam under
the vertical force loading. The boundary conditions are shown in the free body diagram
in figure a3.

Figure a3: Free body diagram for vertical deflection of the folded cantilever beam.

Under vertical loading the beams will bend depending on the end boundary conditions,
table 3.1 in Judy’s Ph.D. thesis [A.2] gives the deflection as:

For fixed-free cantilever beam, the deflection is –

Fl 3 (a.10)
Δz =
3EI z

For fixed-guided end beam, the deflection is –

278
Appendix A

Fl 3 (a.11)
Δz =
12 EI z

The deflection is proportional to the rigidity of the end connector, given by a factor c
which is numerically determined. After incorporating c the end deflection then is given
as

cFl 3 (a.12)
δz bending =
12 EI z

where c = 1 is a guided end boundary condition, and c =4 is a fixed boundary condition.

The elbow undergoes an angular deflection under the torque caused by the opposing
directions of the vertical loading on the elbow given by

Tl Fs l Fls (a.13)
θ elbow = = =
GJ 2 GJ 2GJ

where G is the shear modulus of rigidity, and J is the area moment of inertia about Z-
axis.

The angular deflection causes a twisting of the beam given by

Fls 2 (a.14)
δztwist = sθ elbow =
2GJ

The total vertical deflection of the beam under vertical loading is thus

cFl 3 Fls 2 (a.15)


δztotal = δzbending + δztwist = +
12 EI x 2GJ

The spring constant for the folded cantilever beams is then

⎛ cl 3 ⎞ (a.16)
F ls 2
k= = 1 / ⎜⎜ + ⎟⎟
δztotal ⎝ 12 EI x 2GJ ⎠

Spring constant for a spring unit is twice the spring constant of the folded cantilever
beams as they add in series. Spring on either side of the proof-mass add in series giving
a spring constant for the suspension as

279
Appendix A

⎛ cl 3 ⎞ (a.17)
ls 2
k z = 4k = 1 / ⎜⎜ + ⎟⎟
⎝ 48 EI x 8GJ ⎠

For a suspension with n spring sets, the spring constant is kz/n.

A2. Rotational Modes

A2.1 On-axis rotational mode (kα):

Figure a4: Free body diagram of spring beams under torsion about X-axis.

The angular deflection about X-axis consists of a contribution from the twisting
occurring about the X-axis of the linkages and a more complex contribution from a
combination of twisting and bending of the beams. Taking the twisting of the linkages
first, as there are two linkages for each spring unit of the suspensions on each side of the
suspended mass, each of length (s-w)/2, the torsional spring constant kα ,link will be

2GJ s (a.18)
kα ,link =
s−w

280
Appendix A

where Js is the torsion constant of the linkage.

The torsional compliance of the linkages can be made very low by increasing the width
of the linkage. The compliance due to the distortion of the beams will then dominate.
The forces and torques producing this distortion are analysed in the free-body diagram
of figure a4. For a total external torque of τ, each beam will experience a torque T = τ/4
on its connecting face to the linkage to the proof mass. This torque will be opposed by a
combination of a torque U and moment Fl provided by the elbow. Considering the
balance of forces and torques on the elbow, the forces will produce an overall moment
Fs/2 which must be opposed by torques Fs/2. The resulting axial torques will cause a
twist of each beams. Hence the overall deflection of each beam will be due to a
combination of a torque U and force F producing a bend in the z direction and a twist
about the y axis due to the torque Fs/2.

The external torque can therefore be regarded as being distributed between the bending
torque U and force F so that the resulting deflection and twist of the beams cause a pure
rotation of the suspension unit about X-axis. The force F will be opposed by the other
half of the suspension unit but will slightly reduce the effect of the external torque by an
negligible amount Fws due to the forces acting on the linkage, it is neglected for the
derivation. Equating the torques acting on the beam, we get

T = Fl + U (a.19)

The angular deflection for the overall beam is 2αbeam as shown in fig a4(c), the two
beams comprising the folded cantilever pair undergo double the deflection due to forces
acting at the ends and an angular deflection of the elbow. αbeam can thus be calculated as:

Δ elbow (a.20)
Δ beam + = lα beam
2

where the deflection of the beam, Δ beam , is given by Roark [A.1] table 3, expressions 1a
and 3a as:

Fl 3 Ul 2 (a.21)
Δ beam = +
3EIz 2EIz

the deflection of the elbow, Δ elbow , is given by

Fs l Fls 2 (a.22)
Δ elbow = φelbow s = s=
2 GJ 2GJ

The deflection angle of the beam ends is given by Roark table 3, expression 1 as:

Fl 2 Ul (a.23)
α beam = +
2 EI z EI z

Substituting equation (a.21) and (a.22) in equation (a.20) and solving it with (a.23)
gives

281
Appendix A

Fl 2 Ul Fl 2 Ul Fs 2 (a.24)
α beam = + = + +
2 EI z EI z 3EI z 2 EI z 4GJ

reducing to:

⎛ s2 ⎞ 2 EI z (a.25)
l2
U = F ⎜⎜ − ⎟⎟ ⋅
⎝ 4GJ 6 EI z ⎠ l

Substituting equation (a.25) in equation (a.19) to get F in terms of T, and substituting F


and U in equation (a.23) in terms of T derived from (a.25) and (a.19) leads to an
expression relating αbeam and T. T is τ/4, so the compliance for rotation about X-axis due
to beam distortion is given by

⎛ ⎞ (a.26)
⎜ ⎟
1 l ⎜ 3 ⎟
= 1−
kα ,beam 4 EI z ⎜ EI z s 2 ⎟
⎜ 4 + 3 ⎟
⎝ GJl 2 ⎠

The total compliance will be sum of the compliances due the torsion of the linkages
derived from (a.18) and (a.26):

1 1 1 (a.27)
= +
kα kα ,link kα ,beam

For a mass moment of inertia about the X-axis of

1 (a.28)
Ixx = mg 2
3

The square of the rejection ratio for this mode is given by


−1 (a.29)
⎧ ⎡ ⎤⎫
2

⎛ ωα ⎞ ⎪ 4 EIx (s − w)g 2
4Ix g 2 ⎢ 3 ⎥⎪⎪
⎜ ⎟ = ⎨ + ⎢1− ⎥⎬
⎝ ωx ⎠ ⎪ GJ sl 3 Iz l 2 ⎢ 3s2 EIz ⎥⎪
4+ 2
⎪⎩ ⎣ l GJ ⎦⎪⎭

A2.2 Cross-axis rotational mode about Y-axis (kβ):

The torque about the Y-axis can be considered as two opposing forces acting along Z-
axis on either side of the proof-mass (figure a5). This means the spring constant for
rotation about Y-axis is proportional to the spring constant for motion along Z-axis (kz).

282
Appendix A

Figure a5: Rotational torque about Y-axis can be decomposed into an out-of-plane
force acting on the springs.

The torque acting about Y-axis is then given in terms of the force acting along Z-axis on
the springs as:

T y = Fz × h (a.30)

where h is the distance from the center of the proof-mass to the spring linkages.

The angular deflection can be calculated from the vertical deflection as:

Δz (a.31)
θβ =
h

where Δz is the vertical deflection of the springs under a vertical force Fz.

Spring constant for rotation about Y-axis can therefore be calculated using a.29 and a.30
as:

Ty Fz h 2 (a.32)
kβ = = = kzh2
θβ Δz

As we can see from the FEA derived value for mode ratio ωβ/ωx and ωz/ωx in figure a6,
the rejection ratio for the rotational mode about Y-axis follows the rejection ratio for the
out-of-plane mode. The analytical expression neglects the torsional effects which will
tend to increase the rejection ratio for the rotational mode about Y-axis. The analytical
expression thus gives the lower limit for the rejection ratio for rotation about the Y-axis
which is useful when trying to increase the rejection ratios.

The modal frequency for rotational mode is given by

kβ (a.33)
kzh2 3k z
ωβ = =
1 mh 2
= = 3ω z
I yy 3 m

where Iyy = ⅓mh2 is the mass moment of inertia.

283
Appendix A

Figure a6: FEA derived results of ωβ/ωx and ωz/ωx.

The error between the analytical and FEA calculated rejection ratio for β and z mode are
exactly the same, showing a complete dependence of β mode on z mode.

A2.3 Cross-axis rotational mode about Z-axis (kγ):

The torque about Z-axis is comprised of two opposing lateral force acting along Y-axis
on either side of the proof-mass (fig. a7).

Figure a7: Torque about the Z-axis causes an angular deflection θ.

The torque acting about Z-axis is then given in terms of the force acting along Y-axis on
the springs as:

Tz = F y × h (a.34)

where h is the distance from the center of the proof-mass to the spring linkages.

The angular deflection can be calculated from the vertical deflection as:

284
Appendix A

Δy (a.35)
θγ =
h

where Δy is the total deflection of the springs under a lateral force Fy.

Spring constant for rotation about Z-axis can therefore be calculated using a.33 and a.34
as:

Fy h 2 (a.36)
Tz
kγ = = = k yh 2

θγ Δy

The modal frequency for rotational mode is then given by


(a.37)
kγ k yh2 3
ωγ = = = ωy
I zz 1
3 m( h 2 + g 2 ) 1 + g 2 h2

where Izz = ⅓m(h2 +g2) is the mass moment of inertia about Z-axis..

This simplified approach to calculating the rejection ratio neglects the effect of torsion
on the beams and gives the lower estimate of the rejection ratio consistently so is useful
for quickly exploring the design space.

A3. Bibliography

[A.1] R. J. Roark, Roark's formulas for stress and strain, 6 ed: McGraw-Hill, 1989.
[A.2] J. W. Judy, Batch Fabricated Ferromagnetic Microactuators with Silicon
Flexures, Ph.D. Thesis, Electrical Engineering, University of California,
Berkeley, 1996

285
Appendix B

Appendix B: Numerical model

B1. Finite Element Analysis (FEA)

A numerical method and a computer can be used to evaluate the mathematical model
and estimate the characteristics of the process. Two common numerical methods are the
finite difference method and variational method like Rayleigh-Ritz and Galerkin.
In finite difference method [B.1] the derivatives are replaced by difference quotients (or
Taylor series expressions), the resulting algebraic equation is solved by imposing the
boundary conditions for the values of the solution at mesh points.

To solve differential equations using variational method, the equation is converted to an


equivalent weighted-integral form and the approximate solution over the domain is
assumed to be a linear combination ( ∑i ci φi ) of suitable approximation function φi and
undermined coefficients ci . The coefficients ci are determined such that the integral
statement equivalent to the original differential equation is satisfied. Various variational
methods like Rayleigh-Ritz, Galerkin, least-squares vary in the choice of integral form,
weight functions and approximation functions. Finite element method overcomes the
limitations of variational method by employing a systematic procedure for derivation of
the approximation functions over subregions of the domain.

The finite element method can be broken down into three basic schemes. First a
geometrically complex domain of the problem is decomposed into simpler subdomains,
the finite elements. Next for each finite element the approximation function is derived
using continuous functions which are a combination of linear algebraic polynomials.
Finally the algebraic relation between the undetermined coefficients are obtained by
satisfying the weighted-integral governing equations for each element. Thus, the finite
element method can be thought of as element-wise application of Rayleigh-Ritz or
weighted-residual methods. The approximation function is normally an algebraic
polynomial and the coefficients are the value of solution at some pre-selected points on
the boundary and within the elements, called nodes.

Various commercial and academic numerical analysis tools are available. For
mechanical static, dynamic and transient analysis FEM tools give detailed result on
stress gradients within the geometry.

Numerical modeling of various spring-frame configurations were performed in Ansys to


validate analytical results as well as to extract and view the modes. Ansys [B.2]is a
software package for finite element analysis of structural, thermal, electrostatic and
coupled-field problems.

286
Appendix B

B2. Isotropic vs. Anisotropic model

Silicon is an anisotropic model, the analytical models used in chapter 3 consider silicon
an isotropic material with a modulus of rigidity of 129 GPa. To develop an analytical
model which takes into account anisotropic nature of silicon is non-trivial. It is much
easier to incorporate the anisotropic nature of silicon in numerical model.

Brantley [B.3] gives the numerical values for the elastic constants along the various
crystal planes of silicon as E11 = E22 = E33 = 165.7 × 109 N/m2, E12 = E13 = E23 = 63.9 ×
109 N/m2, and E44 = E55 = E66 = 79.56 × 109 N/m2. Hooke’s law is expressed for silicon
as:

⎡σ x ⎤ ⎡ E11 E12 E12 0 0 0 ⎤ ⎡ε x ⎤


⎢σ ⎥ ⎢ E E11 E12 0 0 0 ⎥ ⎢ε y ⎥
⎢ y ⎥ ⎢ 12 ⎥⎢ ⎥
⎢σ z ⎥ ⎢ E12 E12 E11 0 0 0 ⎥ ⎢ε z ⎥
⎢ ⎥=⎢ ⎥⎢ ⎥
⎢τ x ⎥ ⎢ 0 0 0 E 44 0 0 ⎥ ⎢γ x ⎥
⎢τ y ⎥ ⎢ 0 0 0 0 E 44 0 ⎥ ⎢γ y ⎥
⎢ ⎥ ⎢ ⎥⎢ ⎥
⎣τ z ⎦ ⎣ 0 0 0 0 0 E 44 ⎦ ⎣γ z ⎦

The analytical calculations for the resonant modes are compared against numerical
simulations with isotropic and anisotropic silicon property. The isotropic model is much
more similar to the analytical model than the anisotropic model. The deviation of the
resonant modes between the isotropic analytical model and anisotropic numerical model
is pronounced in modes which are a function of the out-of-plane stiffness of the spring.

B3. Modal analysis using ANSYS

Mode-Frequency Analysis (ANTYPE, MODAL) is used for natural frequency and


mode shape determination. The equation of motion for an undamped system, expressed
in matrix notation using the above assumptions is:

&& + [C]u& + [K ]u = 0
[M ]u b.1
[M] is the structure mass matrix, [K] is the structure stiffness matrix and u is the nodal
displacement vector and ü is the nodal acceleration vector.

For a linear system, free vibration will be harmonic of the form:

{u} = {φ}i cos(ωi t ) b.2


{φ }i is the eigenvector representing the mode shape of the i natural frequency, ωi the
th

ith natural circular frequency (radians per unit time) and t is time.

Substituting Equation b.2 in equation b.1 gives:

(− ω [M ] + [K ]){φ} = {0}
i
2
i b.3

287
Appendix B

The solutions to this equality are either {φ }i = {0}, which is trivial or


[K ] − ω 2 [M ] = 0 b.4

This is an eigenvalue problem which may be solved for up to n values of ω 2 and n


eigenvectors {φ }i , where n is the number of DOF. Equation b.4 can be written as an
eigenvalue and eigenvector problem as:

[K ]{φi } = λi [M ]{φi } b.5


where, {φi } is the eigenvector and λi is the eigenvalue.

ANSYS uses an eigensolver like Black Lanczos to solve the eigenvalue and
engenvector matrices for the model. Grimes et al [B.4] gives the theoretical basis of the
Block Lanczos solver.

The 3D element used for meshing the model is SOLID45 which has six degrees of
freedom at each of its eight nodes. The element is shown in figure b1.

Figure b1: SOLID45 element used for meshing the suspension model for modal and
stress analysis (source: Ansys manual).

B4. Input files for FEA using ANSYS

I. Multiple spring set suspension /TITLE, LATERAL SUSPENSION


MODAL ANALYSIS
! Parametric input file for lateral /unit, SI
suspension
! Multiple spring model (no frame) – set ! Parameters nomenclature
parameter ‘ns’ to the number of spring ! linkage [l] - connector between spring
sets and frame
! Rectangular end connector ! spring [s]
! Sunil, 10/10/2003 ! proof-mass [m]
! Sunil, last update 25/10/2006
! Wafer
! Units set to umKsV (micrometers) wt = 525 !wafer thickness

/PREP7 ! Spring parameters

288
Appendix B

ns = 2 !number of spring (on al, ln, ln+1, ln+2, ln+3 !linkage


one side)
sl = 8320 !spring length k, kn+4, x0+ll, y0+lw+sw, z0
sw = 24 !spring width k, kn+5, x0, y0+lw+sw, z0
sg = 492 !spring pitch (s in
schematic figure 3.2) l, kn+2, kn+4, swd
l, kn+4, kn+5, lld
! Link parameters l, kn+5, kn+3, swd
ll = 70 !link half length
lw = sg/2 !link width (thickness in al, ln+2, ln+4, ln+5, ln+6 !link-
previous versions) spring connector

! Proof mass parameters k, kn+6, x0+ll+sl, y0+lw, z0


ml = 8960 !half proof mass length k, kn+7, x0+ll+sl, y0+lw+sw, z0
mw = 6030 !half proof mass width
l, kn+2, kn+6, sld
! Mesh size control settings l, kn+6, kn+7, swd
sld = 100 !divisions spring length l, kn+7, kn+4, sld
swd = 3 !divisions spring width
lld = 5 !divisions linkage length al, ln+7, ln+8, ln+9, ln+4 !spring
lwd = 10 !divisions linkage width horizontal - beam 1
mld = 5 !divisions proof-mass
length k, kn+8, x0+ll+sl+sw, y0+lw, z0
mwd = 5 !divisions proof-mass k, kn+9, x0+ll+sl+sw, y0+lw+sw, z0
width
sgd = 10 !divisions spring end l, kn+6, kn+8, swd
connector l, kn+8, kn+9, swd
wtd = 5 !divisions wafer l, kn+9, kn+7, swd
thickness (vertical extrusion)
al, ln+10, ln+11, ln+12, ln+8 !spring
! Draw Model vertical-horizontal connector (1)
! Set starting point for the suspension
x0 = 0 k, kn+10, x0+ll+sl+sw, y0+lw+sw+sg,
y0 = 0 z0
z0 = 0 k, kn+11, x0+ll+sl, y0+lw+sw+sg, z0

kn = 3 l, kn+9, kn+10, sgd


ln = 1 l, kn+10, kn+11, swd
l, kn+11, kn+7, sgd
! Draw one half of spring
k, kn, x0, y0, z0 al, ln+12, ln+13, ln+14, ln+15 !spring
k, kn+1, x0+ll, y0, z0 vertical segment
k, kn+2, x0+ll, y0+lw, z0
k, kn+3, x0, y0+lw, z0 k, kn+12, x0+ll+sl+sw,
y0+lw+sw+sg+sw, z0
l, kn, kn+1, lld k, kn+13, x0+ll+sl, y0+lw+sw+sg+sw,
l, kn+1, kn+2, lwd z0
l, kn+2, kn+3, lld
l, kn+3, kn, lwd l, kn+10, kn+12, swd
l, kn+12, kn+13, swd

289
Appendix B

l, kn+13, kn+11, swd csys, 0


asel, s, loc, y, y0, y0+lw+sw+sg+sw+lw
al, ln+14, ln+16, ln+17, ln+18 !spring cm, spring1, area
vertical-horizontal corner (2) alls
*enddo
k, kn+14, x0+ll, y0+lw+sw+sg+sw, z0
k, kn+15, x0+ll, y0+lw+sw+sg, z0 ! Reset WP back to original CS
y0 = 0
l, kn+15, kn+11, sld wplane, -1, x0, y0, z0
l, kn+13, kn+14. sld
l, kn+14, kn+15, swd ! Draw a quater of proof mass
y0 = y0 + ns*(lw+sw+sg+sw+lw)
al, ln+19, ln+18, ln+20, ln+21 !spring
horizontal segment (2) rectng, x0, x0+ll, y0, y0+mw
rectng, x0+ll, x0+ml-ll, y0, y0+mw
k, kn+16, x0, y0+lw+sw+sg+sw, z0
k, kn+17, x0, y0+lw+sw+sg, z0 ! Mirror it about x and y axis to get the
complete suspension
l, kn+17, kn+15, lld ! Mirroring about Y-axis
l, kn+14, kn+16, lld asel, all
l, kn+16, kn+17, swd wplane, -1, x0, y0+mw, z0
csys,4
al, ln+22, ln+21, ln+23, ln+24 !spring- arsym, y, all
link connector (2)
! Mirroring about X-axis
k, kn+18, x0+ll, y0+lw+sw+sg+sw+lw, arsym, x, all
z0
k, kn+19, x0, y0+lw+sw+sg+sw+lw, z0 ! Glue everything together
nummrg, all
l, kn+14, kn+18, lwd
l, kn+18, kn+19, lld ! Define material and elements
l, kn+19, kn+16, lwd MP,DENS,1,2.329e-15 ! Density of
Silicon
al, ln+23, ln+25, ln+26, ln+27 !linkage
(2) ! Isotropic material property
MP, EX, 1, 129e3 !Young’s
! Define spring set as component modulus of silicon: 129 GPa
cm, spring1, area MP, PRXY, 1, 0.23 !Poisson’s ratio
of silicon: 0.23
! Mirror it number of spring (ns) times
! Anisotropic silicon property –
*do, num, 2, ns, 1 comment out isotropic property to use
wplane, -1, x0, y0+lw+sw+sg+sw+lw, this
z0 !move WP to the start of next ! C11=1.657e5 ! Stiffness Matrix
spring Components for Silicon
csys, 4 ! C12=0.639e5 ! After W.A.
!use defined WP Brantley [1.34]
arsym, y, spring1 ! C44=0.7956e5
!mirror about Y-axis
y0 = y0+lw+sw+sg+sw+lw

290
Appendix B

! tb,ANEL,1,1 ! Stiffness Matrix for ! Solve the model for resonant modes
Silicon /SOLU
! tbdata,1, C11,C12,C12,0,0,0 antype, modal, new
! tbdata,7, C11,C12,0,0,0,C11 modopt, lanb, 10, 0, 1000,,off,,2
! tbdata,13, 0,0,0,C44,0,0 !select Block Lanczos solver
! tbdata,19, C44,0,C44 mxpand,10,,,0
!expand 10 modes
! Define Elements solve
ET,1,PLANE42 ! 2D Element !solve
ET,2,SOLID64 ! 3D Anisotropic
Solid ! Use postprocessor (/POST1) to get the
frequencies and mode shapes
! Area Mesh ! The layout is as seen in figure b2.
! Settings for map mesh
ESIZE, ,5
TYPE, 1 !assigns element
number to elements defined
MSHAPE, 0, 2D !use quads for
area
MSHKEY, 1 !mapped meshing

AMESH, ALL !mesh all areas

! Extrude mesh to create volume


TYPE, 2
EXTOPT, ACLEAR, 1 !remove
area meshes
EXTOPT, ESIZE, wtd !number Figure b2: Suspension with two sets of
of vertical divisions set by wtd springs.
VEXT, all, , , , , wt !extrume
area mesh to thickness wt II. Suspension with multiple springs and
intermediate frames: Cross-bar spring
! Apply Boundary conditions end connector
csys, 0
alls ! Parametric input file for lateral
suspension
asel, s, loc, y, 0 !selecting ! Multiple alternate intermediate frame
starting face of first spring model (set parameter ‘nFrame’)
asel, a, loc, y, ! Rounded cross-bar end connector
(ns*(lw+sw+sg+sw+lw)+mw)*2 ! Sunil, last update 25/10/2006
!selecting end face
/PREP7
! Anchoring the suspension at both ends /TITLE, MULTIPLE INTERMEDIATE
da, all, ux, 0 FRAMES MICROSEISMOMETER
da, all, uy, 0 MODAL ANALYSIS
da, all, uz, 0 /unit, SI
alls ! Units set to umKsV (micrometers)
nummrg, all ! All length units in um (micrometer)

291
Appendix B

! Parameter nomenclature
! linkage - connector between spring & ml = 17760/2 ! proof-mass
frame - [l] length
! spring [s] fl = ml + (nFrame-1)*(fg + ft) -ll
! frame [f] mw = 11632 ! proof-mass
! proof-mass [m] width

*afun, deg !set degrees as unit of wt = 525 !wafer thickness


angle measurement
! Parameter check
springL = 8370 ! 1/2 the spring ! Check last spring is still smaller than
length - straight section the last frame
nFrame = 1+2 ! number of ! Check proof mass is smaller than last
internal frames + 1 frame

ll = 50 ! 1/2 link lenght


lt = 315 ! link thickness ! Mapped mesh size settings
lang = 180/2 ! half of the angle sldiv = 100 !spring segment
subtended by the 2 points division
lr = 200/2 stdiv = 3 !spring thickness
ld = 237/2*(1-cos(lang)) ! distance from divisions
center of the kp joining line to kp on scang = 10 !spring curved
center-curved portion of link section division
ldiv = 5 !linkage length
vst = 24 ! vertical spring divisions
thickness lvdiv = 10 !linkage width
sl = springL-vst ! spring length of divisions
straight section - one side fldiv = 100 !to keep the
st = 24 ! spring thickness element sized to 100 - will vary
sg = 530 ! spring gap depending on frame
between two straight section of a spring ftdiv = 2 !frame thickness
element divisions
sang = 180/2 fwdiv = 100 !frame width
sr1d = sg/2*(1-cos(sang)) divisions (frame segment linking two
sr1 = sg/2 ! radius of curved sides of the PM frame)
section of the spring - inside edge mwdiv = 10 !proof mass
sr2 = sr1+st ! radius of outer width divisions
curve for spring curved section mldiv = 5 !proof mass
length divisions
sfg = 176+sr1+st ! extra spring vdiv = 3 !vertical divisions
frame gap besides fg for the spring vsdiv = 10 !vertical spring
closest to the proof mass segment divisions
fg = 40 ! etch channel
width between frames ! Draw Model
ft = 60 ! frame thickness ! Going ccw from right-bottom for KP,
- vertical (along x - ansys) segment for lines from bottom side
fth = 60 ! frame
horizontal (along y) segment thickness ! Define 2 keypoints for drawing the
!fl = springL + sfg + nFrame*(fg + ft) - curves using 2 KP and radius + a KP on
fg !largest frame length - outside the side of curvature

292
Appendix B

k,1,-fl-1000,0,0 l, kpnum+1, kpnum+2, ldiv


k,2,-fl-1000,0,wt l, kpnum-8, kpnum+1, lvdiv
*endif

x0 = 0 !define starting point in *if, num, EQ, 1, then


Global CSYS al, lnum-1, lnum, lnum+1, lnum+2
y0 = 0 *endif
z0 = 0
*if, num, GT, 1, then
! Move WP using wpoffs, 0, yoff, 0 so al, lnum-14, lnum, lnum+1, lnum+2
its always top centered wrt the area *endif
! using *do - *enddo to develop all the
spring and frames ! Draw spring-link contact
k, kpnum+3, x0+ll, y0+lt+st, z0
ini = 1 k, kpnum+4, x0-ll, y0+lt+st, z0
fin = nFrame
l, kpnum+2, kpnum+3, stdiv
! draw spring and frames l, kpnum+3, kpnum+4, ldiv
! repeat loop for all spring and frames l, kpnum+4, kpnum+1, stdiv

k,3, x0-ll, y0, z0 al, lnum+1, lnum+3, lnum+4, lnum+5


k, 4, x0+ll, y0, z0
l, 3, 4, ldiv ! Draw spring straight sections
k, kpnum+5, x0+ll+sl, y0+lt, z0
kpnum = 4 !endingkeypoint number k, kpnum+6, x0+ll+sl, y0+lt+st, z0
lnum = 2 !line number
l, kpnum+2, kpnum+5, sldiv
! starting number for keypoints at center l, kpnum+5, kpnum+6, stdiv
of the curved sections - as the larc l, kpnum+6, kpnum+3, sldiv
command is failing for 90deg arc
al, lnum+6, lnum+7, lnum+8, lnum+3
cpnum = (kpnum+28)*(nFrame-
1)+18+1000 ! Spring vertical section
vpnum = (cpnum+8)*(nFrame-1)+1000 k, vpnum+1, x0+ll+sl+vst, y0+lt, z0
!for vertical spring sections k, vpnum+2, x0+ll+sl+vst, y0+lt+st, z0

*do, num, ini, fin, 1 l, kpnum+5, vpnum+1, stdiv


l, vpnum+1, vpnum+2, stdiv
! Draw linkage l, vpnum+2, kpnum+6, stdiv
k, kpnum+1, x0-ll, y0+lt, z0
k, kpnum+2, x0+ll, y0+lt, z0 al, lnum+9, lnum+10, lnum+11, lnum+7

*if, num, EQ, 1, then ! Spring curved section


l, 4, kpnum+2, lvdiv k, vpnum+3, x0+ll+sl+vst,
l, kpnum+1, kpnum+2, ldiv y0+lt+st+sg+st, z0
l, kpnum+1, 3, lvdiv k, vpnum+4, x0+ll+sl+vst, y0+lt+st+sg,
*endif z0
k, cpnum+3+10*(num-1),
*if, num, GT, 1, then x0+ll+sl+vst+sr1, y0+lt+st+sg/2, z0
l, kpnum-9, kpnum+2, lvdiv

293
Appendix B

k, cpnum+4+10*(num-1), l, kpnum+12, kpnum+11, stdiv


x0+ll+sl+vst+sr2, y0+lt+st+sg/2, z0
al, lnum+23, lnum+22, lnum+24,
larc, vpnum+1, vpnum+3, lnum+25
cpnum+4+10*(num-1), sr2
l, vpnum+3, vpnum+4, stdiv ! Spring straight section
larc, vpnum+4, vpnum+2, k, kpnum+14, x0-ll-sl, y0+lt+st+sg+st,
cpnum+3+10*(num-1), sr1 z0
k, kpnum+13, x0-ll-sl, y0+lt+st+sg, z0
lesize, lnum+14, , scang
lesize, lnum+12, , scang l, kpnum+13, kpnum+11, sldiv
l, kpnum+12, kpnum+14, sldiv
al, lnum+10, lnum+14, lnum+13, l, kpnum+14, kpnum+13, stdiv
lnum+12
al, lnum+26, lnum+25, lnum+27,
! Vertical segment lnum+28
k, kpnum+8, x0+ll+sl, y0+lt+st+sg, z0
k, kpnum+7, x0+ll+sl, y0+lt+st+sg+st, ! Spring vertical segment
z0 k, vpnum+6, x0-ll-sl-vst,
y0+lt+st+sg+st, z0
l, vpnum+3, kpnum+7, stdiv k, vpnum+5, x0-ll-sl-vst, y0+lt+st+sg,
l, kpnum+7, kpnum+8, stdiv z0
l, kpnum+8, vpnum+4, stdiv
l, vpnum+5, kpnum+13, stdiv
al, lnum+13, lnum+15, lnum+16, l, kpnum+14, vpnum+6, stdiv
lnum+17 l, vpnum+6, vpnum+5, stdiv

l, kpnum+8, kpnum+6, vsdiv al, lnum+29, lnum+28, lnum+30,


l, vpnum+2, vpnum+4, vsdiv lnum+31

al, lnum+11, lnum+19, lnum+17, ! Spring curved section


lnum+18 k, vpnum+7, x0-ll-sl-vst, y0+lt, z0
k, vpnum+8, x0-ll-sl-vst, y0+lt+st, z0
! Spring straight section k, cpnum+5+10*(num-1), x0-ll-sl-vst-
k, kpnum+10, x0+ll, y0+lt+st+sg+st, z0 sr1, y0+lt+st+sg/2, z0
k, kpnum+9, x0+ll, y0+lt+st+sg, z0 k, cpnum+6+10*(num-1), x0-ll-sl-vst-
sr2, y0+lt+st+sg/2, z0
l, kpnum+9, kpnum+8, sldiv
l, kpnum+7, kpnum+10, sldiv larc, vpnum+6, vpnum+7,
l, kpnum+10, kpnum+9, stdiv cpnum+6+10*(num-1), sr2
l, vpnum+7, vpnum+8, stdiv
al, lnum+20, lnum+16, lnum+21, larc, vpnum+8, vpnum+5,
lnum+22 cpnum+5+10*(num-1), sr1

! Linkage-spring contact lesize, lnum+34, , scang


k, kpnum+12, x0-ll, y0+lt+st+sg+st, z0 lesize, lnum+32, , scang
k, kpnum+11, x0-ll, y0+lt+st+sg, z0
al, lnum+33, lnum+32, lnum+31,
l, kpnum+11, kpnum+9, ldiv lnum+34
l, kpnum+10, kpnum+12, ldiv

294
Appendix B

! Vertical spring segment l, kpnum+19, kpnum+20, ldiv


k, kpnum+15, x0-ll-sl, y0+lt, z0 l, kpnum+20, kpnum+17, ftdiv
k, kpnum+16, x0-ll-sl, y0+lt+st, z0
al, lnum+43, lnum+45, lnum+46,
l, vpnum+7, kpnum+15, stdiv lnum+47
l, kpnum+15, kpnum+16, stdiv
l, kpnum+16, vpnum+8, stdiv ! Frame straight segment
k, kpnum+21, x0+ll+(fl-(num-
al, lnum+35, lnum+36, lnum+37, 1)*(fg+ft)-ft), y0+lt+st+sg+st+lt, z0
lnum+33 k, kpnum+22, x0+ll+(fl-(num-
1)*(fg+ft)-ft), y0+lt+st+sg+st+lt+fth, z0
l, kpnum+16, kpnum+13, vsdiv !inside end point of +ve frame
l, vpnum+5, vpnum+8, vsdiv
l, kpnum+18, kpnum+21, fldiv
al, lnum+37, lnum+38, lnum+29, l, kpnum+21, kpnum+22, ftdiv
lnum+39 l, kpnum+22, kpnum+19, fldiv

! Spring straight section al, lnum+48, lnum+49, lnum+50,


l, kpnum+15, kpnum+1, sldiv lnum+45
l, kpnum+4, kpnum+16, sldiv
k, kpnum+23, x0+ll+(fl-(num-
al, lnum+40, lnum+5, lnum+41, 1)*(fg+ft)), y0+lt+st+sg+st+lt, z0
lnum+36 k, kpnum+24, x0+ll+(fl-(num-
1)*(fg+ft)), y0+lt+st+sg+st+lt+fth, z0
! Linkage !outside end point of frame
k, kpnum+18, x0+ll, y0+lt+st+sg+st+lt,
z0 l, kpnum+21, kpnum+23, ftdiv
k, kpnum+17, x0-ll, y0+lt+st+sg+st+lt, l, kpnum+23, kpnum+24, ftdiv
z0 l, kpnum+24, kpnum+22, ftdiv

l, kpnum+10, kpnum+18, lvdiv al, lnum+51, lnum+52, lnum+53,


l, kpnum+18, kpnum+17, ldiv lnum+49
l, kpnum+17, kpnum+12, lvdiv
! Frame segment
!lesize, lnum+26, , lcang k, kpnum+26, x0-ll-(fl-(num-
!lesize, lnum+28, , lcang 1)*(fg+ft))+ft, y0+lt+st+sg+st+lt+fth,
al, lnum+24, lnum+42, lnum+43, z0
lnum+44 k, kpnum+25, x0-ll-(fl-(num-
1)*(fg+ft))+ft, y0+lt+st+sg+st+lt, z0
! Check if the spring close to proof
mass is drawn then exit l, kpnum+25, kpnum+17, fldiv
*if, num, EQ, nFrame, EXIT l, kpnum+20, kpnum+26, fldiv
l, kpnum+26, kpnum+25, ftdiv
! Frame linkage
k, kpnum+19, x0+ll, !al, lnum+38, lnum+32, lnum+39,
y0+lt+st+sg+st+lt+fth, z0 lnum+40
k, kpnum+20, x0-ll, a, kpnum+17, kpnum+20, kpnum+26,
y0+lt+st+sg+st+lt+fth, z0 kpnum+25

l, kpnum+18, kpnum+19, ftdiv

295
Appendix B

k, kpnum+28, x0-ll-(fl-(num- k, kpnum_last+2, x0-ml, mw/2, z0


1)*(fg+ft)), y0+lt+st+sg+st+lt+fth, z0 k, kpnum_last+1, x0+ml, mw/2, z0
k, kpnum+27, x0-ll-(fl-(num-
1)*(fg+ft)), y0+lt+st+sg+st+lt, z0 l, kpnum_last-1, kpnum_last+2, mldiv
l, kpnum_last, kpnum_last+1, mldiv
l, kpnum+27, kpnum+25, ftdiv
l, kpnum+26, kpnum+28, ftdiv !connect the two mirrored images
l, kpnum+28, kpnum+27, ftdiv kpnum1 = kpnum+2
kpnum2 = kpnum_last
al, lnum+57, lnum+56, lnum+58,
lnum+59 l, kpnum1-1, kpnum2+1, mwdiv
l, kpnum1, kpnum2+2, mwdiv
kpnum = kpnum+28 l, kpnum1-2, kpnum2, mwdiv
vpnum = vpnum+8 l, kpnum1-3, kpnum2-1, mwdiv
x0 = x0
y0 = y0+lt+st+sg+st+lt+fth lnum = lnum+36+2
z0 = 0 lnum2 = 2*lnum
lnum = lnum+60
!proof mass areas
*enddo a, kpnum1, kpnum1-3, kpnum2-1,
kpnum2+2
! Exit point once all the springs and a, kpnum1-3, kpnum1-2, kpnum2,
frames are drawn kpnum2-1
! Draw proof mass half a, kpnum1-2, kpnum1-1, kpnum2+1,
kpnum = kpnum+18 kpnum2
x0 = x0
y0 = y0+lt+st+sg+st+lt ! Connect frames on both sides
z0 = 0 *do, i, ini, fin-1, 1

k, kpnum+1, x0+ml, y0 kpf1 = 28*(i-1)+4


k, kpnum+2, x0-ml, y0 kpf2 = kpnum1+36*(i-1)

l, kpnum-1, kpnum+1, mldiv l, kpf1+22, kpf2+32, fwdiv


l, kpnum, kpnum+2, mldiv l, kpf1+24, kpf2+34, fwdiv
l, kpf1+26, kpf2+35, fwdiv
! Draw the mirror portion of the spring- l, kpf1+28, kpf2+38, fwdiv
frame just rotate the csys so the y is
pointing up and offset a, kpf1+26, kpf1+28, kpf2+38, kpf2+35
wplane, -1, x0, y0+mw/2, z0 !define a a, kpf1+22, kpf1+24, kpf2+34, kpf2+32
new working plane offset to the center
of proof mass *enddo
csys,4 !changing
active CS to the defined working plane l, 1, 2, vdiv ! line defining the
vertical extrude
arsym, y, all, , , , 0, 0 *GET, exline, LINE, ,NUM, MAX

! Generate lines on the mirrored copy as ! Define Material


area mirror doesn't generate line MP,DENS,1,2.329e-15 ! Density of
kpnum_last = kpnum+2+36*(nFrame- Silicon
1)+26+2

296
Appendix B

! Set Silicon anisotropic property


C11=1.657e5 ! Stiffness Matrix alls
Components for Silicon nummrg, all
C12=0.639e5 ! After W.A. Brantley, J.
Appl. Phys. 44 (1), 1973, 534. ! Solve using Blank Lanczos solver
C44=0.7956e5 ! antype, modal, new
modopt, lanb, 10, 0, 1000,,off,,2
TB,ANEL,1,1 ! Stiffness Matrix for !select Block Lanczos solver
Silicon mxpand,10,,,0
TBDATA,1, C11,C12,C12,0,0,0 !expand 10 modes
TBDATA,7, C11,C12,0,0,0,C11 solve
TBDATA,13, 0,0,0,C44,0,0 !solve
TBDATA,19, C44,0,C44
! Use postprocessor (/POST1) to get the
frequencies and mode shapes
! Choose Elements ! The layout is as seen in figure b3.
ET,1,PLANE42 ! 2D Element
ET,2,SOLID64 ! 3D Anisotropic
Solid

! Map mesh
ESIZE, 100
TYPE, 1 !assigns element
number to elements defined
MSHAPE, 0, 2D !use quads for
area
MSHKEY, 1 !mapped meshing

AMESH, ALL

TYPE, 2
EXTOPT, ACLEAR, 1 !remove Figure b3: Suspension with three
area meshes spring sets and two intermediate frames.
VDRAG, ALL, , , , , , exline
III. Suspension with intermediate
! Specify Boundary conditions frames and rectangular rigid end
csys, 0 connector (Used for verifying the
alls accuracy of analytical models)
! Select the starting and ending springs ! Sunil Kumar, 3 June 2005
ends ! Multiple spring with intermediate
asel, s, loc, x, 0 frames suspension model to verify
asel, r, loc, y, 0 analytical formulae from Chapter 3.
asel, a, loc, y, ! Rectangular end connector – made
(nframe*(lt+st+sg+st+lt+ft)-ft)*2+mw rigid to correspond better to analytical
model
! Anchor the springs
da, all, ux, 0 /PREP7
da, all, uy, 0 /TITLE, MODAL ANALYSIS OF
da, all, uz, 0 LATERAL SUSPENSION

297
Appendix B

/unit, SI wt = 528 !wafer


thickness
! Units set to umKsV
! All length units in um (micrometer) ! Parameter check
! Check last spring is still smaller than
! Parameter nomenclature the last frame
! linkage - connector between spring & ! Check proof mass is smaller than last
frame - [l] frame
! spring [s]
! frame [f] ! Mesh settings
! proof-mass [m] sldiv = 100 !spring segment
division
*afun, deg !set unit of measurement stdiv = 3 !spring thickness
of angles to degrees divisions
scang = 10 !spring curved
springL = 8320 ! 1/2 the section division
spring length - straight section ldiv = 5 !linkage
nFrame = 1+4 ! number horizontal divisions
of internal frames + 1 lvdiv = 10 !linkage vertical
divisions
ll = 70 ! 1/2 fldiv = 100 !to keep the element
linkage length sized to 100 - will vary depending on
lt = 492/2 ! linkage frame
thickness ftdiv = 2 !frame thickness
divisions
vst = 24 ! vertical fwdiv = 100 !frame width divisions
spring thickness (frame segment linking two sides of the
sl = springL-vst ! spring PM frame)
length of straight section - one side mwdiv = 10 !proof mass
st = 24 !18.2 !24 ! spring width divisions
thickness mldiv = 5 !proof mass
sg = 2*lt ! spring length divisions
gap between two beams of spring vdiv = 5 !vertical divisions
element vsdiv = 10 !vertical spring
segment divisions
sfg = 176+sr1+st ! extra
spring frame gap besides fg for the ! Draw Model
spring closest to the proof mass ! Going ccw from right-bottom for KP,
fg = 40 ! etch for lines from bottom side
channel width between frames
ft = 200 !60 ! frame x0 = 0 ! define starting point in
thickness Global CSYS
y0 = 0
ml = 8960 !8840 !half the z0 = 0
proof mass length
fl = ml + (nFrame-1)*(fg + ft) –ll ! Move WP using wpoffs, 0, yoff, 0 so
!frame length its always top centered wrt the area
mw = 2*6030 ! proof ! Using *do - *enddo to develop all the
mass width spring and frames

298
Appendix B

ini = 1 k, kpnum+5, x0+ll+sl, y0+lt, z0


fin = nFrame k, kpnum+6, x0+ll+sl, y0+lt+st, z0

! Draw spring and frames l, kpnum+2, kpnum+5, sldiv


! Repeat loop for all spring and frames l, kpnum+5, kpnum+6, stdiv
l, kpnum+6, kpnum+3, sldiv
k,3, x0-ll, y0, z0
k, 4, x0+ll, y0, z0 al, lnum+6, lnum+7, lnum+8, lnum+3
l, 3, 4, ldiv
!spring vertical section
kpnum = 4 !endingkeypoint number k, vpnum+1, x0+ll+sl+vst, y0+lt, z0
lnum = 2 !line number k, vpnum+2, x0+ll+sl+vst, y0+lt+st, z0

*do, num, ini, fin, 1 l, kpnum+5, vpnum+1, stdiv


l, vpnum+1, vpnum+2, stdiv
! Draw linkage l, vpnum+2, kpnum+6, stdiv
k, kpnum+1, x0-ll, y0+lt, z0
k, kpnum+2, x0+ll, y0+lt, z0 al, lnum+9, lnum+10, lnum+11, lnum+7

*if, num, EQ, 1, then ! Spring Vertical segment


l, 4, kpnum+2, lvdiv k, kpnum+8, x0+ll+sl, y0+lt+st+sg, z0
l, kpnum+1, kpnum+2, ldiv k, kpnum+7, x0+ll+sl, y0+lt+st+sg+st,
l, kpnum+1, 3, lvdiv z0
*endif
l, vpnum+3, kpnum+7, stdiv
*if, num, GT, 1, then l, kpnum+7, kpnum+8, stdiv
l, kpnum-9, kpnum+2, lvdiv l, kpnum+8, vpnum+4, stdiv
l, kpnum+1, kpnum+2, ldiv
l, kpnum-8, kpnum+1, lvdiv al, lnum+13, lnum+15, lnum+16,
*endif lnum+17

*if, num, EQ, 1, then l, kpnum+8, kpnum+6, vsdiv


al, lnum-1, lnum, lnum+1, lnum+2 l, vpnum+2, vpnum+4, vsdiv
*endif
al, lnum+11, lnum+19, lnum+17,
*if, num, GT, 1, then lnum+18
al, lnum-14, lnum, lnum+1, lnum+2
*endif ! Spring straight section
k, kpnum+10, x0+ll, y0+lt+st+sg+st, z0
! Draw spring-link contact k, kpnum+9, x0+ll, y0+lt+st+sg, z0
k, kpnum+3, x0+ll, y0+lt+st, z0
k, kpnum+4, x0-ll, y0+lt+st, z0 l, kpnum+9, kpnum+8, sldiv
l, kpnum+7, kpnum+10, sldiv
l, kpnum+2, kpnum+3, stdiv l, kpnum+10, kpnum+9, stdiv
l, kpnum+3, kpnum+4, ldiv
l, kpnum+4, kpnum+1, stdiv al, lnum+20, lnum+16, lnum+21,
lnum+22
al, lnum+1, lnum+3, lnum+4, lnum+5
! Linkage-spring contact
!draw spring straight sections k, kpnum+12, x0-ll, y0+lt+st+sg+st, z0

299
Appendix B

k, kpnum+11, x0-ll, y0+lt+st+sg, z0


!spring straight section
l, kpnum+11, kpnum+9, ldiv l, kpnum+15, kpnum+1, sldiv
l, kpnum+10, kpnum+12, ldiv l, kpnum+4, kpnum+16, sldiv
l, kpnum+12, kpnum+11, stdiv
al, lnum+40, lnum+5, lnum+41,
al, lnum+23, lnum+22, lnum+24, lnum+36
lnum+25
! Linkage
! Spring straight section k, kpnum+18, x0+ll, y0+lt+st+sg+st+lt,
k, kpnum+14, x0-ll-sl, y0+lt+st+sg+st, z0
z0 k, kpnum+17, x0-ll, y0+lt+st+sg+st+lt,
k, kpnum+13, x0-ll-sl, y0+lt+st+sg, z0 z0

l, kpnum+13, kpnum+11, sldiv l, kpnum+10, kpnum+18, lvdiv


l, kpnum+12, kpnum+14, sldiv l, kpnum+18, kpnum+17, ldiv
l, kpnum+14, kpnum+13, stdiv l, kpnum+17, kpnum+12, lvdiv

al, lnum+26, lnum+25, lnum+27, al, lnum+24, lnum+42, lnum+43,


lnum+28 lnum+44

! Spring vertical segment ! Check if the spring close to proof


k, vpnum+6, x0-ll-sl-vst, mass is drawn then exit
y0+lt+st+sg+st, z0 *if, num, EQ, nFrame, EXIT
k, vpnum+5, x0-ll-sl-vst, y0+lt+st+sg,
z0 ! Frame linkage
k, kpnum+19, x0+ll,
l, vpnum+5, kpnum+13, stdiv y0+lt+st+sg+st+lt+ft, z0
l, kpnum+14, vpnum+6, stdiv k, kpnum+20, x0-ll,
l, vpnum+6, vpnum+5, stdiv y0+lt+st+sg+st+lt+ft, z0

al, lnum+29, lnum+28, lnum+30, l, kpnum+18, kpnum+19, ftdiv


lnum+31 l, kpnum+19, kpnum+20, ldiv
l, kpnum+20, kpnum+17, ftdiv
! Vertical spring segment
k, kpnum+15, x0-ll-sl, y0+lt, z0 al, lnum+43, lnum+45, lnum+46,
k, kpnum+16, x0-ll-sl, y0+lt+st, z0 lnum+47

l, vpnum+7, kpnum+15, stdiv ! Frame straight segment


l, kpnum+15, kpnum+16, stdiv k, kpnum+21, x0+ll+(fl-(num-
l, kpnum+16, vpnum+8, stdiv 1)*(fg+ft)-ft), y0+lt+st+sg+st+lt, z0
k, kpnum+22, x0+ll+(fl-(num-
al, lnum+35, lnum+36, lnum+37, 1)*(fg+ft)-ft), y0+lt+st+sg+st+lt+ft, z0
lnum+33 !inside end point of +ve frame

l, kpnum+16, kpnum+13, vsdiv l, kpnum+18, kpnum+21, fldiv


l, vpnum+5, vpnum+8, vsdiv l, kpnum+21, kpnum+22, ftdiv
l, kpnum+22, kpnum+19, fldiv
al, lnum+37, lnum+38, lnum+29,
lnum+39

300
Appendix B

al, lnum+48, lnum+49, lnum+50, *enddo !exit point


lnum+45
! Draw proof-mass half
k, kpnum+23, x0+ll+(fl-(num- kpnum = kpnum+18
1)*(fg+ft)), y0+lt+st+sg+st+lt, z0 x0 = x0
k, kpnum+24, x0+ll+(fl-(num- y0 = y0+lt+st+sg+st+lt
1)*(fg+ft)), y0+lt+st+sg+st+lt+ft, z0 z0 = 0
!outside end point of frame
k, kpnum+1, x0+ml, y0
l, kpnum+21, kpnum+23, ftdiv k, kpnum+2, x0-ml, y0
l, kpnum+23, kpnum+24, ftdiv
l, kpnum+24, kpnum+22, ftdiv l, kpnum-1, kpnum+1, mldiv
l, kpnum, kpnum+2, mldiv
al, lnum+51, lnum+52, lnum+53,
lnum+49 ! to draw the mirror portion of the
spring-frame just rotate the csys so the y
! Frame segment is pointing up and offset
k, kpnum+26, x0-ll-(fl-(num- wplane, -1, x0, y0+mw/2, z0 !define a
1)*(fg+ft))+ft, y0+lt+st+sg+st+lt+ft, z0 new working plane offset to the center
k, kpnum+25, x0-ll-(fl-(num- of proof mass
1)*(fg+ft))+ft, y0+lt+st+sg+st+lt, z0 csys,4 !changing
active CS to the defined working plane
l, kpnum+25, kpnum+17, fldiv
l, kpnum+20, kpnum+26, fldiv arsym, y, all, , , , 0, 0 !mirror
l, kpnum+26, kpnum+25, ftdiv across Y-axis

!al, lnum+38, lnum+32, lnum+39, ! Generate lines on the mirrored copy as


lnum+40 area mirror doesn't generate line
a, kpnum+17, kpnum+20, kpnum+26, kpnum_last = kpnum+2+36*(nFrame-
kpnum+25 1)+26+2
k, kpnum_last+2, x0-ml, mw/2, z0
k, kpnum+28, x0-ll-(fl-(num- k, kpnum_last+1, x0+ml, mw/2, z0
1)*(fg+ft)), y0+lt+st+sg+st+lt+ft, z0
k, kpnum+27, x0-ll-(fl-(num- l, kpnum_last-1, kpnum_last+2, mldiv
1)*(fg+ft)), y0+lt+st+sg+st+lt, z0 l, kpnum_last, kpnum_last+1, mldiv

l, kpnum+27, kpnum+25, ftdiv !connect the two mirrored images


l, kpnum+26, kpnum+28, ftdiv kpnum1 = kpnum+2
l, kpnum+28, kpnum+27, ftdiv kpnum2 = kpnum_last

al, lnum+57, lnum+56, lnum+58, l, kpnum1-1, kpnum2+1, mwdiv


lnum+59 l, kpnum1, kpnum2+2, mwdiv
l, kpnum1-2, kpnum2, mwdiv
kpnum = kpnum+28 l, kpnum1-3, kpnum2-1, mwdiv
vpnum = vpnum+8
x0 = x0 lnum = lnum+36+2
y0 = y0+lt+st+sg+st+lt+ft lnum2 = 2*lnum
z0 = 0
lnum = lnum+60 ! Proof-mass areas

301
Appendix B

a, kpnum1, kpnum1-3, kpnum2-1, asel, a, area,, 73, 76


kpnum2+2
a, kpnum1-3, kpnum1-2, kpnum2, asel, a, area,, 106, 109
kpnum2-1 asel, a, area,, 125, 128
a, kpnum1-2, kpnum1-1, kpnum2+1, asel, a, area,, 144, 147
kpnum2 asel, a, area,, 163, 166

! Connect frames on both sides asel, a, area,, 184, 191


*do, i, ini, fin-1, 1 aatt, 2

kpf1 = 28*(i-1)+4 alls


kpf2 = kpnum1+36*(i-1)
! Map mesh
l, kpf1+22, kpf2+32, fwdiv ESIZE, 100
l, kpf1+24, kpf2+34, fwdiv TYPE, 1 !assigns element
l, kpf1+26, kpf2+35, fwdiv number to elements defined
l, kpf1+28, kpf2+38, fwdiv MSHAPE, 0, 2D !use quads for
area
a, kpf1+26, kpf1+28, kpf2+38, kpf2+35 MSHKEY, 1 !mapped meshing
a, kpf1+22, kpf1+24, kpf2+34, kpf2+32
AMESH, ALL
*enddo
TYPE, 2
l, 1, 2, vdiv ! line defining the EXTOPT, ACLEAR, 1 !remove
vertical extrude area meshes
*GET, exline, LINE, ,NUM, MAX EXTOPT, ATTR, 1, 0, 0
VDRAG, ALL, , , , , , exline
! Define Material
MP, EX, 1, 129e3 nummrg, all
MP, PRXY, 1, 0.23
MP, DENS, 1, 2.33e-15 ! Specify non-displacement boundary
conditions on the spring end faces
MP, EX, 2, 129e3 csys, 0
MP, PRXY, 2, 0.23 alls
MP, DENS, 2, 2.33e-25 asel, s, loc, x, 0
asel, r, loc, y, 0
! Choose Elements asel, a, loc, y,
ET, 1, 42 (nframe*(lt+st+sg+st+lt+ft)-ft)*2+mw
ET, 2, 45 asel, r, loc, x, 0

! select spring link and set to a different da, all, ux, 0


material for massless springs da, all, uy, 0
!asel, u, loc, x, -springL, springL, da, all, uz, 0
springL*2
!aatt, 2 alls

!set frame to rigid material ! apply BC on PM end for x1 mode – to


asel, s, area,, 16, 19 cross-check x1 mode
asel, a, area,, 35, 38 !asel, s, area,,545
asel, a, area,, 54, 57 !asel, a, area,,901

302
Appendix B

!alls
!nummrg, all

! Solve for the mode frequencies and


mode shapes
/SOLU
antype, modal, new
modopt, lanb, 10, 0, 1000,,off,,2
!use Blank Lanczos solver
mxpand,10,,,0
!expand 10 modes
solve
!solve
Figure b5: IGES CAD file imported
from vectorworks used for doing the
! Use postprocessor (/POST1) to get the
stress analysis in Ansys Workbench.
frequencies and mode shapes
! The layout is as seen in figure b4.
V. Magnetic simulation to calculate the
bevelling angle for the pole pieces

! Microsiesmometer – magnetic circuit


simulation
! Initial version: Kin Wei Lee, 17-04-03
! Modified Sunil Kumar, 20 April 2005
! Corners ~45degrees and large yoke

/prep7

Figure b4: Suspension model with ! Define elements


ability to set rigidity and mass on spring et,1,53 !8-node mag solid
and frames to better correlate to the plane53
analytical model. et,2,53,2 !AZ. CURR degrees of
freedom
IV. Linkage stress analysis et,3,110 !2D infinite solid

The linakge geometry was exported ! Define material properties


from Vectorworks as an iges 3D model !1-air, 2-iron, 3-1st magnet(+), 4-2nd
and then imported in Ansys magnet(-)
Workbench. The anisotropic silicon
material property were applied to the mp,murx,1,1 !air
model and the deflection and stress at mp,murx,3,1.05 !magnet1
the linkages and spring end connectors mp,mgyy,3,8.8e5 !magnet1
was calculated as shown in figure b5. mp,murx,4,1.05 !magnet2
mp,mgyy,4,-8.8e5 !maget2
mp,murx,5,1.02 !coil

!steel with made up b-h curve from


vm165
mat,2
/input,emagSa1010.SI_MPL

303
Appendix B

k,1,-yoke_w/2,gap_h/2+mag_h+y2_h
/prep7 k,2,-
!define parameters yoke_w/2,gap_h/2+mag_h+yoke_h-
solder_h = 48e-6 !gap between PM bevel_y+y2_h
die and DT die k,3,-
frit_h = 1.5e-4 !gap between PM yoke_w/2+bevel_y,gap_h/2+mag_h+yo
die and Cavity die ke_h+y2_h
glass_h = 1.1e-3 !thickness of k,4, yoke_w/2-
glass wafer used for making DT and bevel_y,gap_h/2+mag_h+yoke_h+y2_h
Cavity die k,5,
yoke_w/2,gap_h/2+mag_h+yoke_h-
mass_w=10e-3 !proof mass bevel_y+y2_h
mass_h=525e-6 !wafer thickness k,6, yoke_w/2,gap_h/2+mag_h+y2_h
a,1,2,3,4,5,6
! Coil parameters xx=500e-6
coil_I=1e-3 !coil current yy=500e-6
coil_w=1e-3 !Width cut_y=1.0e-3
coil_h=10e-6 !Height
coil_disp_x=0 cyl4,0,gap_h/2+y2_h+mag_h,gap_w/2

gap_h=mass_h+2*glass_h+frit_h+solde asba,1,2
r_h !vertical gap between magnet arsym,y,all
pole pieces incl. yoke2
gap_w=2e-3 ! Draw Magnets
!gap between pole pieces blc4,-
yoke_w/2,gap_h/2+y2_h,mag_w,mag_h
yoke_h=4e-3 !yoke 1
yoke_w=16e-3 ! Draw Pole pieces
k,123,-yoke_w/2,gap_h/2+bevel2
mag_h=1.5e-3 !magnet k,124,-yoke_w/2+bevel1,gap_h/2
mag_w=(yoke_w-gap_w)/2 k,125,-gap_w/2-bevel1,gap_h/2
k,126,-gap_w/2,gap_h/2+bevel2
y2_h=0.50e-3 !yoke2 - soft iron k,127,-gap_w/2,gap_h/2+y2_h
yoke over magnet - thin one k,128,-yoke_w/2,gap_h/2+y2_h
y2_w1=mag_w
a,123,124,125,126,127,128
air_w=yoke_w*5
air_h=(gap_h+mag_h*2+yoke_h*2)*5 asel,s,loc,x,-yoke_w/2,-gap_w/2
arsym,y,all
! Vary bevel1 and bevel2 to vary asel,s,loc,x,-yoke_w/2,-gap_w/2
parameters arsym,x,all
bevel_y=yoke_h*.5 allsel
bevel1 = y2_h*7/5
!700microns ! Air area
bevel2 = y2_h*(1-.002) !1 blc4,-air_w/2,-air_h/2,air_w,air_h
micron
inf_w=air_w ! Draw coil
inf_h=air_h blc4,3.5e-
3+coil_disp_x,mass_h/2,coil_w,coil_h
! Draw Yokes

304
Appendix B

blc4,-3.5e-3+coil_disp_x,mass_h/2,- asel,r,loc,y,-air_h/2,air_h/2
coil_w,coil_h asel,inve
blc4,3.5e-3+coil_disp_x,- !asel,s,area,,1,4
mass_h/2,coil_w,-coil_h aatt,1,,3
blc4,-3.5e-3+coil_disp_x,-mass_h/2,- allsel
coil_w,-coil_h
! Select air only
allsel asel,s,loc,x,0,0,0
aovlap,all asel,r,loc,y,0,0,0
cm,air,area
!infinite element aatt,1,,1
k,,-inf_w,inf_h,0 allsel
k,,inf_w,inf_h,0
k,,inf_w,-inf_h,0 ! Select yokes
k,,-inf_w,-inf_h,0 asel,s,loc,y,gap_h/2+mag_h+y2_h,gap_
h/2+mag_h+yoke_h+y2_h
! Infinite areas asel,a,loc,y,-gap_h/2-mag_h-y2_h,-
a,kp(- gap_h/2-mag_h-yoke_h-y2_h
air_w/2,air_h/2,0),kp(air_w/2,air_h/2,0) cm,yokes,area
,kp(inf_w,inf_h,0),kp(-inf_w,inf_h,0) aatt,2,,1
a,kp(-air_w/2,air_h/2,0),kp(- allsel
inf_w,inf_h,0),kp(-inf_w,-inf_h,0),kp(-
air_w/2,-air_h/2,0) ! Select bevel
a,kp(-air_w/2,-air_h/2,0),kp(air_w/2,- asel,s,loc,y,gap_h/2,gap_h/2+y2_h
air_h/2,0),kp(inf_w,-inf_h,0),kp(- asel,a,loc,y,-gap_h/2,-gap_h/2-y2_h
inf_w,-inf_h,0) cm,y2,area
a,kp(air_w/2,air_h/2,0),kp(inf_w,inf_h, aatt,2,,1!change 2 to 1 to make it look
0),kp(inf_w,-inf_h,0),kp(air_w/2,- like air
air_h/2,0) allsel

! Select left hand side magnets


! Mesh lines asel,s,loc,x,-yoke_w/2,-gap_w/2
lsel,s,loc,x,-inf_w,-air_w/2 asel,u,loc,y,-gap_h/2-
lsel,a,loc,x,inf_w,air_w/2 y2_h,gap_h/2+y2_h
lsel,u,loc,y,-inf_h cm,l_mag,area
lsel,u,loc,y,-air_h/2,air_h/2 aatt,4,,1
lsel,u,loc,y,inf_h allsel
lesize,all,,,1
allsell ! Select right hand side magnets
asel,s,loc,x,yoke_w/2,gap_w/2
! Infinite surfaces asel,u,loc,y,-gap_h/2-
lsel,s,loc,y,inf_h y2_h,gap_h/2+y2_h
lsel,a,loc,y,-inf_h cm,r_mag,area
lsel,a,loc,x,inf_w aatt,3,,1
lsel,a,loc,x,-inf_w allsel
sfl,all,inf
allsel ! Select coils attributes
asel,s,loc,y,mass_h/2,+gap_h/2
! Select infinite areas only asel,a,loc,y,-mass_h/2,-gap_h/2
asel,s,loc,x,-air_w/2,air_w/2 !asel,s,area,,20,22,2

305
Appendix B

!asel,a,area,,19,21,2 MAGSOLV,0,3,0.0001, ,25,


!aatt,5,1,2
!asel,s,area,,21,22 finish
cm,coil_a,area
aatt,5,,1 ! Postprocessing of results to save the
allsel force value to file
/post1
! Set mesh attributes fmagsum,'coil'
esize,,10
mshape,0,2d *get,fvwx,ssum,fvw_x
asel,s,loc,x,-air_w/2,air_w/2 *get,fmx,ssum,fmx_x
asel,r,loc,y,-air_h/2,air_h/2 *cfopen,forceresults10,txt,,append
asel,inve *vwrite,coil_disp_x,fvwx,fmx
amesh,all ('disp ',E8.2,' vw ',E20.14,' fmx
allsel ',E20.14)
*cfclose
MSHAPE,1,2D finish
*enddo
esize,500e-6
asel,s,loc,x,-air_w/2,air_w/2 /post1
asel,r,loc,y,-air_h/2,air_h/2 path,fluxline,2,5,200
amesh,all ppath,1,,-yoke_w/2*(1+.2),mass_h/2,0
allsel ppath,2,,yoke_w/2*(1+.2),mass_h/2,0
pdef,ffli,b,y
!coil parameters paget,palist,table
esel,s,mat,,5 *cfopen,pathlist-%gap_h%,txt
cm,coil,elem *vwrite,palist(1,5)
(f16.9)
coilcurrent=coil_I/10e-6**2 *cfclose
allsel
asel,s,mat,,5 File: emagSa1010.SI_MPL – contains
fmagbc,'coil' B-H curve for SmCo magnet

asel,s,area,,20,22,2 ! ANSYS $RCSfile:


bfa,all,js,,,-coilcurrent emagSa1010.SI_MPL $
asel,s,area,,19,21,2 ! Modified on $Date: 2001/05/29
bfa,all,js,,,coilcurrent 17:39:40 $
allsell ! Source ID = $Revision: 10.1 $
/NOP
allsel /COM,Internal UNITS set at file
lsel,s,loc,x,-air_w/2 creation time = SI (MKS)
lsel,a,loc,x,air_w/2 /COM,SA1010 Steel
lsel,a,loc,y,-air_h/2 /COM,********* Typical B-H
lsel,a,loc,y,air_h/2 properties for demo purposes
dl,all,,all,0 *********
allsel TBDEL,ALL,_MATL
finish MPDEL,ALL,_MATL
TB,BH ,_MATL , 1, 40
/solu TBTEM, 0.000000000E+00, 1
neqit,5 TBPT,, 90.0000000 , 0.500000000

306
Appendix B

TBPT,, 270.000000 , 1.00000000 TBPT,, 6081.34000 , 1.75825000


TBPT,, 318.250000 , 1.10000000 TBPT,, 8581.09000 , 1.80875000
TBPT,, 384.500000 , 1.20000000 TBPT,, 11066.4000 , 1.85000000
TBPT,, 479.500000 , 1.30000000 TBPT,, 14985.7000 , 1.90250000
TBPT,, 608.562000 , 1.38750000 TBPT,, 33003.3000 , 2.05000000
TBPT,, 755.437000 , 1.45000000 TBPT,, 59203.3000 , 2.15000000
TBPT,, 939.185000 , 1.50000000 TBPT,, 93214.9000 , 2.22625000
TBPT,, 1188.93000 , 1.54500000 TBPT,, 118884.000 , 2.27000000
TBPT,, 1407.93000 , 1.57500000 TBPT,, 163558.000 , 2.33375000
TBPT,, 2077.31000 , 1.62750000 TBPT,, 220788.000 , 2.40750000
TBPT,, 3117.93000 , 1.67375000 TBPT,, 373973.000 , 2.60000000
TBPT,, 3969.37000 , 1.70225000 TBPT,, 692281.000 , 3.00000000
TBPT,, 4843.66000 , 1.72750000 /GO

Simulation layout is shown in figure b6 along with the magnetic vector lines.

Figure b6: Layout of the magnetic assembly and the magnetic flux density vector lines.

B5. Bibliography

[B.1] J. N. Reddy, An introduction to finite element method, 2 ed: McGraw-Hill, 1993.


[B.2] Ansys Multiphysics, Ansys inc., Southpointe, 275 Technology dirve,
Canonsburg, PA 15317 USA http://www.ansys.com.
[B.3] W. A. Brantley, "Calculated elastic constants for stress problems associated with
semiconductor devices," Journal of Applied Physics, vol. 44, pp. 534-535, 1973.
[B.4] R. G. Grimes, J. G. Lewis, and H. D. Simon, "A shifted block lanczos algorithm
for solving sparse symmetric generalized eigenproblems," SIAM Journal Matrix
Analysis Applications, vol. 15, pp. 228-272, 1994.

307
Appendix C

Appendix C: Fabrication process flow

C1. Process Flow for Microseismometer Sensor Unit

Proof-mass (PM) wafer (n-type {100} Silicon - 525 micron thick)

Description Process name Material Thickness/depth


1 Oxide insulator layer on wafer Oxidation SiO2 200 nm
2 Photoresist for ohmic contact lift-off Spin coat SPR1813 1 micron
3 Oxide etch holes for ohmic contact SiO2 etch HF
4 Lift-off - ohmic contact Evaporation AuSb/NiCr/Au 75nm/25nm/200nm
5 Photoresist strip Strip Acetone
6 Anneal for ohmic contact Anneal Oven, 400C
7 Deposit metal-1 layer Sputter Cr/Cu 15nm/200nm
8 Pattern metal-1 layer Etch Cr-etch/Cu-etch
9 Photoresist strip Strip Acetone
10 Insulator layer Spin coat Photoneece 3 micron
11 Pattern insulator layer Expose UV Aligner/Photoneece developer
12 Anneal insulator layer Anneal Oven, 300C
13 Electroplate seed layer Sputter Cr/Cu 15nm/200nm
14 Photoresist mold Spin coat AZ9260 9 micron
15 Electroplate metal-2 Electroplate Cu 5 micron
16 Photoresist strip Strip Acetone
17 Etch seed layer Sputter etch Sputter coater
18 Backside Al layer Evaporate Al 500 nm
19 Photoresist for DRIE Spin coat AZ9260 14 micron
20 DRIE - Etch 1 DRIE Si 400 micron
21 Backbond to handle wafer
22 DRIE - Etch 2 DRIE Si 125 micron
23 Release from handle wafer Release Acetone

DT Capping wafer (Glass - Borosilicate - 1mm thick)

Description Process name Material Thickness/depth


1 Metal seed layer Sputter coat Cr/Cu 15 nm/200 nm
2 Electroplate Cu Electroplate Cu 5 micron
3 Electroplate Solder/Solder balls Electroplate Sn/Pb (~85%/15%) 40 micron
4 Remove seed layer Sputter etch Sputter coater
5 Glue decal mask to the front and backside
6 Etch Backside dicing lines Sand blasting High velocity SiO2 200 micron
7 Etch Frontside cavity Sand blasting High velocity SiO2 800 micron
8 Release decals Release Acetone

Cavity Capping wafer (Glass - Borosilicate - 1mm thick)

Description Process name Material Thickness/depth


1 Glue deal mask to front and back of wafer

308
Appendix C

2 Etch Backside dicing lines Sand blasting High velocity SiO2 200 micron
3 Etch Frontside cavity Sand blasting High velocity SiO2 800 micron
4 Release decals Release Acetone
5 Screen print glass frit Screen print Glass frit 60 micron finally

Assembly

Description Process name Material Thickness/depth


1 Align Cavity capping and PM wafer Reflow rig
2 Reflow Glass frit Reflow Glass frit 365C
3 Align DT capping and PM wafer Reflow rig
4 Reflow solder Reflow Solder 185C

C2. DRIE Processing of Lateral Suspensions

(steps for suspension without metal traces)

1) Evaporate 500nm Aluminium in thermal evaporator


2) Dehydrate wafer in 110 ºC oven for 30 min.
3) Remove from the oven and spin HMDS: spread@1000/1000/60” with lid open..
4) Dispense 5ml AZ9260 on wafer
5) Program spinner for photoresist coat: spread @ 500/500/10 with closed lid, then
spin @ 1000/1000/60 with closed lid, then EBR with 4000/5000/2, closed lid.
6) After spin cycle allow to stand in spinner for 5 minutes after end of spin.
7) Place wafer on hotplate, switch ON hotplate (in case the plate is hot already cool it
down by placing the chuck on a cold large metal sheet or under water).
8) Let the hotplate reach 60-70 ºC, switch off hotplate and start timer for 3 min
countdown.
9) Switch on hotplate again, let it reach 90 ºC and then switch off and countdown on
timer for 3 min.
10) Switch on hotplate and let it reach 116 ºC, switch off and let the timer count down
for 3 min.
11) Allow to cool and rehydrate for atleast 30 min or more before attempting exposure.
12) Clean proof mass wafer mask with acetone, IPA and DI, then blow dry.
13) Expose wafer with mask for 50 s at 5 mW/cm2 wait 5 minutes and expose for
another 40s at same settings.
14) Prepare 1:4 AZ400K:DI @ 25-27 ºC, suggested quantity 200ml DI:50ml AZ400K
15) Develop for 6-7 minutes, stirring every minute – check that the pattern has
developed cleanly in bigger areas and then develop for an additional 30 seconds.
16) Once pattern looks cleanly developed and all fine features are intact, dektak wafer to
check resist thickness (8.5 μm).

309
Appendix C

17) Place wafer in System 80 for de-scum for 1 min with 60 sccm O2 flow and 100W
power to remove any leftover AZ9260 in developed regions.
ETCH 1
18) Etch wafer in STS ICP DRIE system using recipe DARK3S (Parameters same as )
for 4 hours.
19) Unload wafer – check channel depth and calculate time required for etching through
the wafer (nominal thickenss = 525μm).
ETCH 2 – WITH HANDLE WAFER
20) Prepare handle wafer – Dehydrate bake SSP (single side polished) wafer at 150C for
15’.
21) Spin SPR 1813: spread @ 500/500/10 with open lid, then spin @ 1000/1000/20 with
open lid.
22) Align the flats of device and handle wafer and press on the featureless portions to
bond the wafers together.
23) Place the sandwich on a cold hotplate with max temp set to 116C.
24) Bake on the hotplate at 110 C for 1’.
25) After cooldown, place wafer sandwich in STS ICP DRIE for etching.
26) Set etch time to 30’ and watch the wafer to see the dies turning bright when the Al
on backside starts getting exposed to plasma – etch for a further 15’ after the center
die appears bright.
RELEASE
27) Place wafer sandwich in a beaker with 300ml acetone, cover with a Al foil and heat
to 80C, leave for 30-60’ for the handle wafer to detach on its own.
28) Remove device wafer and place it in a clean acetone bath possibly at 80C to
minimize temperature gradient.
29) Clean the device wafer using acetone, IPA and DI.
30) Break the wafer into dies.
31) Indivisually clean each die into acetone, IPA and DI.
32) Fill a small 200ml beaker with 50-100 ml of MF319
33) Place each die into MF319 – it takes about 7-10’ for the Al on wafer backside to
etch off
34) Rinse the die in DI
35) Place each die on a wipe and blow dry the top portion
36) Lift gently (the die could be stuck to the wipe strongly due to the soaked DI so be
carefull) and turn it on its back – blow dry the other side.
37) Inspect in the microscope to check for any resist residue or dirt particles.

310
Page left blank intentionally

311

You might also like