Intel Placement Questions
Intel Placement Questions
Intel Placement Questions
1. Can u introduce yourself? and can u brief ur technical skills, your strong areas and
area of interests?
2. What are the things u are aware of physical verification?
3. How can you divide ASIC flow from RTL to GDS(tapeout) into 4 or 5 steps??
4. What exactly is the difference between pre-layout STA and post layout STA?
5. What is the model u use during pre-layout STA and during post layout STA?
6. Why is accuracy less in pre-layout STA and more in post layout STA ?
7. What is the extra information u get after physical synthesis and what is the
information u dont have before physical synthesis?
8. Which part of the digital logic design you are interested in and why?
9. For an xyz STA tool, what are the inputs u are going to give and what are the
outputs u are going to get ?
10. What is the very first thing required for an STA analysis?
11. What do u mean by a synthesis code?
12. Will STA go for the false path analysis also?
13. What are the construction parts so to analyze these setup time and a hold time,
basically what are the list of elements used to get an accurate picture of SETUP and
HOLD?
14. What are the factors which will influence these SETUP and HOLD time?
15. If it is true that because of Crosstalk, there is an increase in delay then it is good for
HOLD time right? Then y u call crosstalk as a disadvantage?
16. What are the different types of power dissipations in a digital ckt?
17. Why static power dissipation is dominating as we go on scaling?
18. Tell me about ur project?
1. Project details
2. Two separate always blocks a1 and a2. Some condition in a2 should stop the
execution of a1. (Verilog)
3. System verilog concepts - assertions, constraints
4. Oops concepts
5. Fork join
6. Or using mux
7. What is glitch . How to remove it.
8. Make an array with all unique elements
9. Sort an array with min. No. Of comparisons.
10. Perl basics- diff btw single and double quotes.
11. Diff btw latch n flipflop
12. Freeze statement in verilog
13. Automatic task
1Q - Projects in details
2Q - Implement verilog code for LCM of two 16 bit numbers
3Q - Aptitude Q based on Speed time distance (two trains with different speed and
starting with different delay with both different distances reaching same point)
INTEL - interview-6
Introduce yourself
Explain any project
What you like analog or digital?
What will happen if we connect Q output to D input of flip flop?
What is setup time?
What will happen if it violates?
Hardware if we multiply 16bit with 12?
INTEL - interview 7
1.Tell me about yourself
2Different types of amplifiers
3.Gain of common source
4.Differential amplifier
5.Gain of differential amplifier
6.Oscillator
7.Draw inverter and Vout vs Vin curve
8.If pmos is weak and nMOS is weak , the curve will move to which side.
9.In a pass transistor 1 can be passed through NMOS or not.
10.If pmos is replaced by nMOS and nMOS is replaced by pmos , what will happen?
11.What is noise margin? What is VIL, VOL , VIH, VOH
relation between VIH AND VOH … which one should be greater.
12.Draw FSM . 1011010110 … detect 0110 and explain.
13.what is setup time and hold time?
14.Two flip flops are there… one is launch and another is capture… delay between two
flop is 40ps and delay in clock path is 10ps .. clock period is 100ps … setup time is 5ps
and hold time is 3ps.. do setup check and hold time check.
15. Different types of encoding.
16. How is gray coding different from binary coding
QUALCOMM
1. Introduce yourself.
2. How much do you rate yourself in perl, TCL and Python?
3. Did you use any automation packages in python?
4. How familiar are you with verilog?
5. Difference between tasks and functions
6. What is asynchronous and synchronous RESET? How to declare them in always
block?
7. Draw the circuit diagram of D-flip flop with synchronous and asynchronous reset
8. Design and gate using 2:1 mux
9. How to do STA when two FSMs operating at different frequencies exchange data
between themselves?
10. What is SETUP and HOLD time? Why do we need them?
11. How does SETUP and HOLD time depend on the Vdd?
12. How SETUP and HOLD times of a cell can be changed?
13. For a Flip flop if Vdd changes will setup and hold slack change? If yes, why?
14. What is the difference between a basic processor and a DSP processor?
15. What is meant by clock speed?
16. What are static, auto, volatile and register keywords in C?
17. Write a program to calculate number of 1s in a given binary number, in C
18. What are voltage regulators?
19. What is a schmitt trigger?
20. Layout, DRC
21. How to calculate the power consumed by a circuit?
22. Explain any one of the SoC protocols you know.
23. In detailed discussion about all the projects.
INTEL
Interview – 4: (Interviewers: Srinivas(HR), Divakar and CK) (Whatsapp video call)
1. Tell me about yourself.
2. What are your strengths?
3. Are you interested in working at Intel?
4. If we give you an internship, what will you take away after the completion of the
internship?
5. What will be your motivation to work in intel?
6. In a particular scenario, 3 of your seniors give you work. How will you tackle it?
7. Will it be okay with you if sometimes you have to work for extended hours if work
is not completed?
8. How does the keyboard works?
9. How does the CPU know the particular instructions?
10. Data types in python.
INTEL
1.How to fix IR drop without using straps
2.How to fix setup violation without using buffer
3.What is tie high and tie low
4.What is antenna effect
5.How to make latch to flipflop
Intel
Panel name: Anand
1.which hdl languages do u know?
2.fibonacci series in verilog you reach a number that 16bit register cannot hold
3.a system which checks if 16bit input is a prime number and gives one bit output as 1
if it prime and 0 if it not prime
4.how approximate multipliers implemented in my project
What was my approach? What was error? How did u achieve that give me an example or
piece of ur design? How accuracy and power are traded in ur design? On what basis u
vary them?
5.how did u implement ur atm project? What is its complexity? What are different states
in it? How state traversal take place? What type of state machine is your project? What
max operating frequency of ur project?
6.aptitude question on train running opposite started at different times, different
speeds, when do they reach destination? Who reaches first? When do they meet
together?
Explain projs
Find output of a given verilog code
Why bist is used,it's advantages
Diff between CMOS and domino logic, compare power dissipation in the two
Various power reduction techniques
Basics of verilog - blocking and non blocking
Complete pd flow
Uvm structure. Why uvm ?
System verilog tesbench structure
Flags of perl n tcl
1. Project
2. Synchronous counter
3. L1,L2,L3 level cache memory
4. Difference between SRAM and DRAM
5. Interrupts
6. RISC and CISC processor
7. I2C protocol
8. Microprocessor
MEDIATEK (SR6 - 12PM (20MAY))
Qualcomm Interview:
1. Difference between FF and latch
2. Can we implement FF using latch
3. Can we implement memory using FF
4. Why hold & setup time required @circuit level
5. Difference in Cmos & nmos logic @(power, area, delay), what should be preferred?
6. ASIC design flow: specs to GDSII
7. Blocking vs non-blocking
8. STA: how to hold violation if there is no setup violation
9. About projects
Intel Interview:
1. Project Briefing
2. Asic setup & hold time
3. Optimize code for given case:
a. Suppose we have two 3-bit numbers a & b, if a>4 then multiply b by 4.
b. frequency divider (f to f/4).
4. AND gate using MUX
5. Verilog: interchange 2 var. without using 3rd variable
6. Fork join,any,none
7. Task vs function
8. SV : assertion,coverage
9. How to verify any design?
10. Transportation delay, insertion delay, proportion delay
11. Mealy moore
12. Latch flip-flop
13. What is Crosstalk, Metastability, Antenna Effect? How to resolve it?
14. What is Fanout? Is high fanout good or bad? Why?
15. Different Power Dissipation in design. How to reduce them?
16. Noise Margin.
16. Puzzle:
There are three boxes, one contains only apples, one contains only oranges, and one
contains both apples and oranges. The boxes have been incorrectly labeled such that
no label identifies the actual contents of the box it labels. Opening just one box, and
without looking in the box, you take out one piece of fruit. By looking at the fruit, how
can you immediately label all of the boxes correctly?
Intel
How much of 64KB memory are required to build 1MB memory?
Full case and parallel case in verilog
Interviewer : sourav
1. Project details
2. Two separate always blocks a1 and a2. Some condition in a2 should stop the
execution of a1. (Verilog)
3. System verilog concepts - assertions, constraints
4. Oops concepts
5. Fork join
6. Or using mux
7. What is glitch? How to remove it.
8. Make an array with all unique elements
9. Sort an array with min. No. Of comparisons.
10. Perl basics- diff btw single and double quotes
11. Diff btw latch n flipflop
12. Freeze statement in verilog
13. Automatic task
1. Counter basics.
2.PLL Working. Use of PLL in generating clock.
3.how to implement different functions in look up table.
4.Difference between FPGA processor and microprocessor.
4.asic flow
5.multi cycle path, false path.
6.explain synthesis in detail.
7.basics of MOSFET
8.explain project in detail.
9.clock gating.
10.blocking and non blocking- find the output of code.
11.fsm and sequence detector.
12.sequence generator.
13.latch and flip flop.different types of flipflop and its excitation tables.
14.Setup and hold time. How to rectify if violated.
15.simple aptitude questions.
1.all projects
2.Memory leakage in C
3.How will u avoid setup violation of 1ns by optimising only comb circuit
4.Ver n Sys Ver differences
5.How will u write a test plan and how will u give test cases?
6.Sys ver test bench components
7.Comb sequential differences , Synch n Asynch counter differences, Synch reset n
Asynch reset
8. Perl(pattern matching) Tcl Python differences
9.FIFO read n write n depth
10. Machine learning and Data science basic questions
1.Introduce yourself
2.Que about academic details
3.whether you did any STA related course or project
4.what u have done in that project
5.whether you get any violations in that
6. How to remove setup and hold violations
7.Explain Asic flow and files used in that(eg. .sdc .lib .lef etc)
9. Write verilog code for ff getting input from 2input AND gate(then he asked to hold the
paper in front of the camera so that he could see it)
10. How will you add synchronous and asynchronous reset in that code
11.difference between synchronous reset and asynchronous reset ..how it will affect the
ckt
12.draw two FF and combinational logic in between ...take ur own values for all the
factors.. Write equations for setup and hold slack and calculate it(again show all the
details what u have taken)
13.what is uncertainty
14.how it will effect setup and hold
15. Is uncertainty good for setup and also for hold
16. Is it good to have uncertainty in ckt
17. Due to which factors uncertainty happens
18.explain clock skew, clock latency, jitter
19. What is multicycle path...why do we need that
20.If data path delay ie AT is more while calculating setup slack, is it good to add one
more FF in between so that data path delay will reduce
21.what is false path
22. Is there any commands related to false path, multicycle path and clock generation in
any of the file... Name that file and commands
23. how do u set clock frequency using command
24.Logical question
Mediatek
1. What u have idea on physical design.
2. did u do any project in it.
3. How u prepared scripts
4. Utilization factor
5. Normal buffers and Clock tree buffers
6. Have u used any macros.
7. Setup and hold time.
8. Other than setup and hold, what u have observed.
9. How do u do any optimization
10. Nand Vs nor. Which is better and how u decide that. Explain the reasons.
11. What are universal gates
Samsung semiconductor
1)Tell me about your college life.
2) name VLSI industries you know.
3) What is SanDisk?
4) why some industries are fabless, some fab
6) What you learnt in Mtech
7) What is latest research going in VLSI nowadays
8) Verilog Questions
9) Show me your Project code ( shared screen and shown code)( skype Interview)
Asked to draw logic diagram of the part of code( also draw diagram using Universal
gate)
10) How will you make Priority encoder in verilog?( It must be efficient design)
10) ASIC flow of it in detail
11) ASIC files
12) Optimization for your completed projects. How it would be done
13) STA violations
14) SV testbench to verify projects.( Basics) ( not so deep)( standard flow )
15) 4 bit full adder verilog code. Draw its Gate level diagram.
16) in it he saw XOR gate, asked it in detail
17) How to implement it (4 bit parallel adder) ( take photos by phone and send on skype
to show him written code) using EDA tools.
( Flow of tools to perform verilog coding)( same asic flow)
18) verilog indeep( statements) ( assign, blocking, Non blocking uses)
19).how will you swap two variables without using temporary variable.
20) Asked how was your interview and comment on it.