Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                

TSMC at A Glance

Download as pdf or txt
Download as pdf or txt
You are on page 1of 235

TSMC 2022

Sustainability
Report
Contents
Overview Sustainable Business Operations and Appendix
Practices Governance
4 Letter from the ESG Steering 12 ESG Implementation Framework 205 Corporate Governance 217 About this Report 222 Climate-related Information of
Committee Chairperson Listed Companies
13 ESG Management Platform 210 Financial Performance 221 Sustainability Information
5 Letter from the ESG Committee Disclosure Framework 224 Participation in Industry
17 Materiality Analysis and 212 Tax
Chairperson Associations and Non-Profit
Stakeholder Engagement Global Reporting Initiative Index
213 Information Security Organizations
6 About TSMC
29 Sustainability Impact United Nations Global Compact
226 ESG Performance Summary
7 Innovation Value Index
35 Carry Out the UN Sustainable
233 Independent Third Party
9 Sustainability at TSMC Development Goals Task Force on Climate-related
Assurance Statement
Financial Disclosures Index
10 Awards, Recognitions, and
234 Contact Information
Ratings Sustainability Accounting
Standards Board Index
WEF IBC Stakeholder Capitalism
Metrics Index

Sustainability Roles

An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society
37 Innovation Management 75 Sustainable Supply Chain 93 Climate and Energy 135 Diversity and Inclusion 181 Social Impact
56 Product Quality and Safety 108 Water Stewardship 141 Talent Attraction and 183 TSMC Education and
Retention Culture Foundation
69 Customer Relations 116 Circular Resources
149 Talent Development 192 TSMC Charity Foundation
126 Air Pollution Control
156 Human Rights
163 Occupational Safety and
Health
Overview

Weaving a Sustainable Future Together


Sustainability is like weaving, pooling people's collective strengths
and consolidating resources
Weaving a harmonious, symbiotic world by adopting a development
model focused on the common good

Sustainability also connects people in rural and urban areas, fostering


an exchange of mutual trust and mutual assistance

TSMC enables innovation and invites partners from all walks of life to
weave a web of sustainability
Through technology and collaboration
Realizing co-prosperity between people and nature as well the society

Building on the foundation of innovative vertical applications, TSMC


aspires to create a horizontal expansion of the value chain
Ensuring the resilience, breadth, and longevity of the sustainability
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Letter from the ESG Steering Committee Chairperson


Over the past year, the world has faced numerous economic, social and geopolitical is diverse, equal, and inclusive (DEI) and promotes the integration of global
changes, including uncertainties in globalization and free trade, lockdowns caused talents, and we require our supply chain partners to follow the same standards.
by the COVID-19 pandemic, the war in Ukraine, global transportation bottlenecks, At the same time, we continue to deepen our connection with the society and
and inflationary pressures. This has tested the resilience of the global supply chain wholeheartedly support global STEM education. Through the TSMC Education
and the adaptability of businesses. As a responsible global corporate citizen, TSMC and Culture Foundation and the TSMC Charity Foundation, we deepen community
has accelerated the adoption of ESG (Environmental, Social, and Governance) relations, care for the disadvantaged, and preserve national art and culture, laying
initiatives in its operations and industrial value chain, and continues to inject a solid foundation for social progress with common values.
positive energy into society.
In 2022, we released the UN SDGs Action Report for the first time to disclose the
Prospering with the natural environment is the cornerstone of TSMC's sustainable company's SDGs action plan and progress. We also explained the company's
operations, and a green and low-carbon supply chain is an important part of our management policy on major issues with our Materiality Analysis Report to
2050 net-zero emissions blueprint. As an industry leader, TSMC uses its green enhance the transparency of sustainable information disclosure. In order to make
influence to continuously work with suppliers to deepen overall low-carbon the Board of Directors more comprehensive and transparent and achieve better
management. By providing suppliers with guidance on carbon inventory, energy corporate governance, we also prepared for the establishment of the Board's
conservation, and carbon reduction, we encourage them to adopt carbon capture Nominating, Corporate Governance and Sustainability Committee, which was
equipment and reduce indirect carbon emissions in the value chain. In 2022, approved in February 2023, strengthening the effectiveness of its sustainability
TSMC was again selected by the Carbon Disclosure Project (CDP) as a Supplier governance. The Board of Directors also decided to change the Audit Committee
Engagement Leader, and we continued to drive the industry towards low-carbon to the Audit and Risk Committee and the Compensation Committe to the
sustainability. In the same year, TSMC's Southern Taiwan Science Park Industrial Compensation and People Development Committee to expand and deepen
Reclaimed Water Plant started operations, and our Zero-Waste Manufacturing its supervision and review responsibilities, making the corporate governance
Center is expected to start operation in 2023. At our Arizona fab, we also plan structure more robust.
to build an industrial recycled water plant to gradually achieve near zero liquid
discharge, advancing toward our goal of circular economy. We continue to make We have a deep understanding of TSMC's key position in the global semiconductor
every effort to minimize environmental externalities through prudent decision- industry and its influence on many economies, and are keenly aware of our
making and coordinated global action on four major issues: climate and energy, urgent responsibilities in sustainability that we shoulder as a corporate citizen.
water stewardship, circular resources, and air pollution control. As TSMC's global business expands, we will deepen our partnership with
stakeholders including employees, public associations, communities, investors
As the leading provider of semiconductor process technology and manufacturing and shareholders, and our supply chain and customers, listen to the voices of all
services, TSMC uses the power of semiconductor innovation to make products parties, and open a new chapter of sustainable development together.
stronger, smarter, safer, more energy efficient, and more advanced to enrich
people's lives and empower society for the common good. I am very proud of
TSMC's employees across the company who work hard for this mission. Guided
by our Human Rights Policy, TSMC continues to create a working environment
that respects human rights. This means we provide a dignified workplace that Mark Liu
Chairman and ESG Steering Committee Chairperson
4
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Letter from the ESG Committee Chairperson


Looking back on 2022, just as the COVID-19 pandemic showed signs of easing and human rights issues was completed in 2022, with the establishment of a trans-
people could see recovery on the horizon, geopolitical conflict threw the world organizational human rights working group and due diligence scheduled for 2023.
into disarray amidst systemic risks from crises in energy, food, human rights, The subsequent promotion of preventive, mitigation and remedial measures as
and environmental damage. Fortunately, substantive progress was achieved at well as related education and training will ensure human rights protection from a
the 27th Conference of the Parties of the UNFCCC (COP 27) and the 15th UN stakeholder perspective. We also founded Women@tsmc, an Employee Resource
Biodiversity Conference (COP 15), so that we were able to continue forwards into Group (ERG) primarily aimed at women, and a new dedicated ERG was set up for
an inclusive and sustainable future. foreign employees to build employee cohesion and promote career development.
We also completed the first safety culture investigation, and introduced free
Facing a volatile economic environment, TSMC focused on its core competitive health check-ups on contractor sites to build an inclusive workplace.
advantages of Technology Leadership, Manufacturing Excellence, and Customer
Trust. Strong demand from 5G cellular network and high-performance computing Upholding the spirit of Leaving No One Behind, TSMC actively works to achieve UN
applications enabled our total wafer shipments in 2022 to reach 15.3 million 12- Sustainable Development Goals (SDGs). In addition to continuously expanding the
inch equivalent wafers, and realize 12,698 product innovations for customers. University Collaboration Programs, cultivating semiconductor talents, and helping
We also delivered a 13th consecutive year of record revenue, driving sustainable to meet shortfalls in emergency assistance resources, we also worked to harness
development through profitable growth. the power of employee volunteers for youth and rural empowerment, promotion
of the arts, and assisting the disadvantaged through the TSMC Education and
TSMC responded to the call to action at COP 27 by making carbon reduction Culture Foundation and TSMC Charity Foundation. Using the Business for Societal
initiatives our top priority. During the course of 2022, we successfully implemented Impact (B4SI) framework as a reference, we also evaluated the overall benefits
823 energy-efficiency, water conservation, and waste reduction innovation of invested resources and identified previously overlooked social needs, so that
projects. A target of Net Zero Emissions by 2050 was also set with annual progress TSMC can become a force for positive change.
reviews planned to dynamically adjust and set even more ambitious carbon
reduction pathways. We also drew up the Carbon Credits Quality Standards for TSMC is the only semiconductor company in the world to be included in the Dow
Voluntary Emissions Reductions based on international guidelines and industry Jones Sustainability Indices for 22 consecutive years. Nevertheless, we don't stop
benchmarks to ensure that carbon credits are not obtained from sensitive there. With the publication of the annual TSMC Sustainability Report along with
regions. Furthermore, we leveraged our industry leadership to invite suppliers the Environmental Profit and Loss (EP&L) Report, TCFD Report, UN SDGs Action
to participate in the CDP Supply Chain Program. The program complements Report, and Materiality Analysis Report, we continue to adopt global trends in
suppliers' existing carbon reduction actions to help us mitigate the global climate sustainability management, and transparently share TSMC's sustainability journey
crisis together. In light of the close association between Climate Change and and accomplishments with stakeholders. Looking ahead, TSMC will embrace the
Biodiversity, we issued the Biodiversity Statement for the first time in 2022 as a ESG vision of "Uplift Society" to bring about positive change.
climate citizen of the new era. The statement commits TSMC to achieving Zero
Deforestation, No Net Loss of biodiversity, and Net Positive Impact. Achieving
Water Positivity was also set as a future strategy to enhance our climate resilience Lora Ho
as part of a multi-pronged approach.
Senior Vice President
and ESG Committee
In addition to our environmental commitments, TSMC also works tirelessly to build
Chairperson
a diverse, equal, and inclusive working environment. The first survey into salient 5
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

About TSMC
In the face of global climate change and geopolitical tensions,
TSMC is committed to innovation to improve the well-being Headquarter
of human life. Upholding the three competitive advantages of Hsinchu Science
Technology Leadership, Manufacturing Excellence, and Customer Park
Trust, TSMC continues to fulfill its mission as a trusted technology
and capacity provider to the global logic IC industry. In 2022,
TSMC's consolidated income reached NT$2,263.89 billion,
Founded in
achieving a record high for the 13th consecutive year. In addition
1987
to seeking the greatest achievements in its core business, TSMC
adheres to its philosophy of responsible business, working
together with employees, shareholders/investors, customers,
suppliers/contractors, government/industry associations,
Number of Employees
and society to strive for excellence in the three dimensions of
73,677
economy, environment and society. TSMC is determined to be a
force that uplifts society and creates sustainable value.

$1,016.53 Billion 13 Consecutive Years Revenue Percentage by Customer


HQ Location
Revenue Percentage by Product
Platform
Net income; 70.4% increase from 2021 (NT$) Record high revenue

5% 5% 3%
3%
15.3 Million 53 % 11% 5%
41%
12-inch equivalent wafers total wafer Of TSMC's wafer revenue came from advanced
shipments reached manufacturing processes with geometries of 7nm 11% 9%
and smaller, up three percentage points from 2021 68%

532 Customers 30 %
39%

Needs were satisfied through 288 distinct Of worldwide semiconductor output value
North America Asia Pacific excluding Japan and China High-performance Computing Smartphones
process technologies (excluding memory) generated up four
percentage points from 2021 China Europe, Middle East, and Africa Japan Internet of Things Automotive Electronics
Consumer Electronics Other Products

TSMC has fabs, subsidiaries or offices in Taiwan, North America, Europe, Japan, China, South Korea, and other countries to offer real-time services and technical support to customers around the world

6
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Innovation Value
Technology Development Benefits to Customer
Semiconductors power almost everything in daily life and have significantly changed Innovations for Sustainability Focuses Product Innovation
the way people live and work in areas including communications, data processing,
agriculture, transportation, healthcare, education, clean energy, and more. Through TSMC continues to advance semiconductor manufacturing ● Continue to drive semiconductor ● Boost product computing power
scaling for both logic and ● Increase product energy
its five technology platforms - High Performance Computing (HPC), Smartphone, technologies and services, enabling customers to unleash more specialty technologies efficiency
Internet of Things (IoT), Automotive, and Digital Consumer Electronics, TSMC provides than 12,600 chip innovations in 2022. These innovations make ● Continue to expand specialty ● Enable smaller form factors
customers with comprehensive and competitive logic process technologies, specialty products more advanced, capable, intelligent, energy-efficient, technology offerings
● Provide greater chip design
technologies, IPs, and packaging and testing technologies to help them accelerate ● Continue to advance and expand flexibility
and safer, greatly increasing the quality of life and helping to
TSMC 3DFabric™ technology
their product innovation and move society forward with technology. build a sustainable society based on the common good. offerings

Supercomputers, with powerful A smartphone is a now a PC in our Continued advancement of agricultural The global automotive industry focuses High-performance portable ultrasound
computing capabilities, are vital to pocket. It can overcome the limitations drone technology is a key to sustainable on the four major innovation trends scanners are becoming a common
powering digital transformation and the of time and distance to make work, agricultural development. GPS-fitted of connected, autonomous, shared, diagnostic tool. Unlike bulky traditional
digital economy in the era of 5G and AI. communication, entertainment, and drones with a variety of sensors can help and electric (CASE). Electric vehicles instruments, they are only the size of a
They rapidly process and analyse vast life more convenient, including video farmers to make timely adjustments to are powered by batteries and do not mobile phone, and feature faster and
amounts of data for a wide range of conferencing, online learning, banking, field management and improve farming emit harmful exhaust gases on the sharper imaging capabilities, which
applications such as accurate weather shopping, and travel booking, and efficiency and quality, as well as reduce road. This, combined with continued can help medical professionals around
forecasts and climate predictions, which telemedicine. waste. progress in advanced driver-assistance the globe save time in diagnosis and
helps protect us from the impact of systems (ADAS), creates a safer and treatment, and improve overall health
increasingly severe storms, floods and more environmentally-friendly driving care efficiency.
snow. experience. Photo: Courtesy of Butterfly Network
Photo: Courtesy of Fujitsu and RIKEN

7
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Improve Enable 5G, artificial Make communication more Empower innovations for artificial Make vehicles, including hybrid/ Enable AI-powered smart
Quality of intelligence (AI), cloud, and effective and work, play, and intelligence of things (AIoT) and electrical cars, safer, smarter, devices
Life
datacenters to transfer and learn anytime and anywhere accelerate digital transformation and greener ● Microcontroller Unit (MCU)
process vast amounts of data to realize more convenient and
● Baseband
anywhere and anytime greener living and improve health
care quality ● RF Transceivers
Customer ● Wireless Local Area Networks (WLAN)
● Central Processing Unit (CPU) ● Application Processors (AP) ● Microcontroller Unit (MCU) ● Microcontroller Unit (MCU)
Products/ ● CMOS Image Sensor (CIS)
Applications
● Graphics Processor Unit (GPU) ● Baseband ● Application Processors (AP) ● Baseband
● Near Field Communication (NFC)
● Field Programmable Gate Array (FPGA) ● RF Transceivers ● Baseband ● RF Transceivers
● Bluetooth
● Server CPU ● Wireless Local Area Networks (WLAN) ● RF Transceivers ● Wireless Local Area Networks (WLAN)
● Embedded Flash Memory
● Artificial Intelligence/Machine Learning ● CMOS Image Sensor (CIS) ● Wireless Local Area Networks (WLAN) ● CMOS Image Sensor (CIS)
(AI/ML) Accelerator ● Power Management ICs
● Near Field Communication (NFC) ● CMOS Image Sensors (CIS) ● Near Field Communication (NFC)
● Network Processing Unit (NPU) ● Timing Controllers (T-CON) for Smart
● Bluetooth ● Near Field Communication (NFC) ● Radar
8K/4K Digital TV (DTV), 4K Streaming
● High-speed Networking Chip, etc. ● Global Positioning Systems (GPS), etc. ● Bluetooth ● Ethernet Switches Set-top Box (STB) / Over-the-top (OTT)
● Embedded Flash Memory ● Power Management ICs, etc. services, Digital Single-lens Reflex
(DSLR) Devices,and so on
● Radio Frequency Identification, (RFID) etc.

Technology High Performance Digital Consumer


Smartphone Internet of Things (IoT) Automotive
Platform Computing Electronics

8
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Sustainability at TSMC

Economic 5,472 Million 100% 2.07 Trillion 1 12,698 Products


R&D expenditures Patent approval rate Output value (NT$) and Leading the world in Fabricated for customers
increased 22.5% compared in the U.S., better than 309,000 jobs generated volume production of through manufacturing
to 2021 (US$) any other top 10 patent in Taiwan 3nm process technology excellence
holders

Net Zero
Environmental Emissions 1 98.9% 54.3% 96%
Overseas sites achieved S.T.S.P. Reclaimed Water Reduction rate of volatile The water pollution Waste recycling rate
net zero emissions in Plant commenced operation organic gases composite indicator achieved and only <1%
Scope 1 and 2 – the world's first industrial reached the 2030 goal of waste has been
reclaimed water plant for ahead of time sent to landfills for 13
advanced semiconductor consecutive years
processes

Social 2,518,073 239.5 Billion >6,800 1.779 Billion 2,291,030


People received employees' Total compensation Students around the Invested into social Beneficiaries of social
training and welfare for TSMC world participated in engagement (NT$) engagement programs
employees around the TSMC's diverse industry-
world, a 45% increase academia cooperation
from 2021 (NT$) programs

9
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Awards, Recognitions, and Ratings

ISS ESG World Benchmarking Alliance (WBA) Alliance for Water Stewardship (AWS)
"Prime" Rated by ISS ESG SDG2000 ‒ The 2,000 Most Influential Companies "Platinum" Class Certification with the
Dow Jones Corporate Rating Highest Score for the 3rd consecutive year
Sustainability Indices (DJSI) MSCI ESG Indexes
Dow Jones Sustainability MSCI ACWI ESG Leaders Index component
World Index for the 22nd MSCI ESG Research ‒ AAA Ratings FTSE4Good Index CDP CommonWealth Magazine
consecutive year
MSCI ACWI SRI Index component FTSE4Good Emerging Index component 2022 CDP Supplier Engagement Leaderboard Excellence in Corporate
Dow Jones Sustainability
MSCI ACWI Islamic Index component FTSE4Good All-World Index component Water Security A Ratings Social Responsibility Award ‒
Emerging Markets Index Honorable Legion of Corporate
MSCI Emerging Markets ESG Leaders Index FTSE4Good TIP Taiwan ESG Index component Climate Change A- Ratings Sustainability Top 100

Corporate Knights ● 2022 Global 100 Most Sustainable Corporations ● The Asset Triple A Country Awards for Sustainable Finance 2022: Best
The Asset
Corporate Bond
Corporate Knights & As You Sow ● 2022 Carbon Clean 200TM List
● Top 5% in Corporate Governance Evaluation of Listed Companies for eight
Taiwan Stock Exchange
Forbes ● 2022 World's Best Employers consecutive years

FORTUNE ● 2022 World's Most Admired Companies ● Taiwan Top 10 Sustainability Exemplary Awards for seven consecutive years
● Corporate Sustainability Report Awards
● Most Honored Company (Technology/Semiconductors) ‒ All-Asia ● Circular Economy Leadership Awards
Institutional Investor Magazine ● Best Overall ESG (Technology/Semiconductors) ‒ First Place (buy-side and ● Information Security Leadership Awards
sell-side) ‒ All-Asia Taiwan Institute of Sustainable ● Supply Chain Leadership Awards
Energy
● Growth Through Innovation Leadership Awards
Morningstar ● The Best Sustainable Companies to Own in 2022 ● Sustainable Water Management Leadership Awards
● Climate Leadership Awards
Sustainalytics ● Company ESG Risk Ratings: Low ESG Risk ‒ Semiconductor Industry
● English Report ‒ Gold Award

10
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Sustainable
Business Practices
ESG Implementation Framework 12
ESG Management Platform 13
Materiality Analysis and Stakeholder Engagement 17
Sustainability Impact 29
Carry Out the UN Sustainable Development Goals 35
An Innovation Pioneer 36
A Responsible Purchaser 74
A Practitioner of Green Power 92
An Admired Employer 134
Power to Change Society 180

11
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

ESG Implementation Framework


With uplifting society as our vision, the TSMC ESG Policy serves as the foremost guiding principle for our sustainable development. The ESG Matrix set by TSMC's Founder, Dr. Morris Chang clearly defines TSMC's ESG
scope. Through its ESG Implementation Framework, TSMC effectively carries out sustainability governance in its core business of dedicated IC foundry services. TSMC actively develops positive relationships with all
stakeholders including employees, shareholders/investors, customers, suppliers/contractors, and society to create value through common good.

Values
Governance Directions Roles Abilities Stakeholders
Created
治理 方向 角色 能力

Drive Green An Innovation Innovation


Employees Ethics
Manufacturing Pioneer Research
Management

Vision Shareholders Legal Compliance


Uplift Society Build a Customer Investors
A Responsible
Sustainable Relations
ESG Steering Purchaser
Supply Chain
Committee Business
Standards
Supply Chain Customers
Board of Create a Diverse Management
Directors and Inclusive
A Practitioner of
Green Power Economic Growth
Nominating, Workplace
Corporate Environmental Suppliers
Governance and Management Contractors
Sustainability Environmental
Committee An Admired Protection
Missions Develop Talent
Employer Government
Acting with Integrity Human Resource Industry
Strengthening Management Associations Balanced Life
Environmental
Protection ESG Committee
Care for the Power to Change
Caring for the Stakeholder
Disadvantaged Society Society
Disadvantaged Engagement Common Good

12
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

ESG Management Platform


In accordance with the vision and mission of the TSMC ESG Policy, TSMC's ESG Steering Committee and ESG Committee
serve as management platforms to align with global trends in sustainable development and corporate growth. TSMC Dr. Kevin Zhang
takes tangible actions to realize the sustainability mindset and continue to create value for stakeholders. Senior Vice
Dr. Y.L. Wang President, Business
The board of directors supervises the overall sustainability strategy of TSMC. Chairman Mark Liu serves as the Vice President, Development
Operations / and Overseas
Chairperson of the ESG Steering Committee and Senior Vice President Lora Ho serves as the executive secretary of
Fab Operations I Operations Office
the ESG Committee. Together with senior executives from various fields, Chairman Liu and Senior Vice President Ho
review ESG topics relevant to TSMC's operations, and set the mid-to-long-term development strategies and goals of
the Five TSMC ESG Directions, and align the Company's core competencies with the UN SDGs to develop a blueprint In face of the highly complex production Semiconductors are at the core of modern
models of globalized manufacturing, technological innovation and continue
for ESG. The chair of the ESG Committee reports to the board of directors each quarter on ESG achievements and
TSMC has been able to consistently inject to drive advancement in our lives. We
future plans, receiving feedback and suggestions from the board of directors. innovations into intelligent manufacturing, are committed to building meaningful
enhance operational efficiency, and partnerships with our customers around
provide high-quality sustainable products the world and developing a sustainable
ESG Steering Committee to achieve ecological efficiency through technology roadmap. Together, we provide
Executive intelligent manufacturing. eco-friendly products that are more
Chairperson Secretary Members Meetings Tasks powerful and more energy efficient.

Chairman ESG Senior Executives from Quarterly Chairman Liu and the management
Committee organizations including Information team discuss and formulates the
Chairperson Technology and Materials Company's ESG vision and strategies,
Management & Risk Management, collaborates with the ESG Committee for
Business Development, Legal, implementation, strives towards a culture
Human Resources, Research & of sustainability, and becomes a driver for
Dr. L.C. Lu Y.P. Chin
Development, Operations, Finance positive change TSMC Fellow and Vice Senior Vice
President, Research President,
& Development / Operations
ESG Committee Design & Technology and Overseas
Platform Operations Office
Chairperson Members Meetings Tasks
TSMC endeavors to collaborate with TSMC upholds commitments to
our ecosystem partners on the Open environmental sustainability and continues
Innovation Platform® to deliver state-of- to lead green innovation. We strive to
Senior Management Quarterly ● Identify material sustainability topics and formulate action plans
the-art design solutions. Furthermore, strengthen operational efficiency through
executive representatives ● Supervise interdepartmental communication and coordinate
appointed by nominated the new 3DFabric Alliance will strive to digital transformation, build green
resource integration
Chairman Liu by functional develop 3D silicon stacking and advanced production lines, and carry out low-carbon
organizations relating
● Compile ESG-related budgets for all functions packaging to facilitate the continuous transformation.
to the economy, ● Track achievements across various sustainability issues and innovation of global technology.
environment, society formulate plans for further improvement
and governance ● The Committee Chairperson reports achievements and work
plans to the Board of Directors every quarter
Note: Listed in order of the chapters in this report that senior executives are responsible for.

13
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

The ESG Committee carries out resolutions from the ESG Steering Committee, integrates and connects
interdepartmental resources, and instructs the ESG Department, the responsible organization, and inter- Dr. Jun He
organizational management representatives to identify sustainability topics relevant to TSMC's operations of concern Dr. Michael Wu Vice President,
to stakeholders. The ESG Committee assembles task forces to formulate strategies, targets, and action plans, and Vice President, Quality and Reliability
convenes quarterly meetings to track the progress and performance of sustainability topics. In 2022, the ESG Research & and Operations /
Development / Advanced Packaging
Committee oversaw the development of 72 sustainability projects and continued to host the ESG AWARD to cultivate
Platform Technology and
a company-wide ESG culture and ensure that ESG strategies are fully implemented into TSMC's daily operations. Development Service

Technology leadership is one of the key We uphold our vision of sustainability


Economy
cornerstones enabling TSMC's continuous by joining hands with customers and
growth. We are committed to fostering an suppliers for progress. TSMC works closely
Shareholders Customers open and innovative, as well as diverse and with customers and suppliers on quality
Investors inclusive environment and realizing digital and service to pursue perfection and pave
transformation in the R&D organization the way for industry progress.
Business
R&D
Development to unleash employees' full potential and
Quality and achieve the goal of corporate sustainability.
Finance Reliability

Information
Investor Technology and
Relations Materials Management
and Risk Mgt
Dr. Cliff Hou J.K. Lin
ESG
Government Customer Senior Vice Senior Vice President,
Operations
Service
Industry Steering Suppliers
Contractors President, Europe Information Technology
Associations Committee
& Asia Sales and Materials Management
Enviro

Environment, and Research & & Risk Management /


SG
Health, and Safety e Legal
e
E

C ommi t t Development / Chief Information Security


nme

Corporate Research Officer


nce
rna
nt

Human Public
Relations
ve

Resources TSMC In an era of rapid change, TSMC continues TSMC continues to uncover ESG
TSMC
Go

Education to innovate while upholding the core opportunities in our operations. We also
Charity
and Culture
Foundation value of Customer Trust, providing work with suppliers, collaborating closely
Foundation advanced technologies and productivity to on company operations to exert positive
strengthen cooperation with customers, influence and create a green low-carbon
Society Employees help customers find success, and create supply chain.
Society
win-win outcomes.

S tak e h old ers

Note: Listed in order of the chapters in this report that senior executives are responsible for.

14
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Dr. T.S. Chang Dr. Arthur


TSMC Fellow and Vice Y.H. Liaw Chuang Lora Ho
President, Operations / Vice President, Vice President, Senior Vice
Advanced Technology Operations / Operations / President, Human
and Mask Engineering Fab Operations II Facility Resources

TSMC continues to research and develop TSMC believes in environmental Climate adaptability is inextricably tied TSMC continues to build a diverse,
advanced processes and spotlight sustainability and stays true to our to global competitiveness. The Facility inclusive, dynamic, and fun workplace
environmental sustainability. We introduce commitment to society. We consistently Organization has been dedicated to to strengthen talent development and
innovative energy-efficient components apply innovative thinking to increase energy sustainable environmental development employee communication, fostering a
at the process R&D stage to deepen low- use efficiency and work with suppliers to by advancing low-carbon manufacturing humanistic management culture with the
carbon manufacturing capabilities, enhance promote green manufacturing, expanding technologies and building critical support of digital transformation to drive
energy efficiency in new fabs, and work the use of renewable energies to strive for capabilities for circular resources. the globalization of the Company.
towards sustainable development. net zero emissions.

Dr. F.C. Tseng Sylvia Fang Wendell Huang


Chairman, Sophie Chang Vice President, Vice President,
TSMC Education Chairperson, Legal and General Finance and Chief
and Culture TSMC Charity Counsel / Corporate Financial Officer /
Foundation Foundation Governance Officer Spokesperson

Despite the challenges of the COVID-19 TSMC demonstrates our commitment TSMC's core value is integrity, committing Robust corporate governance is the
epidemic in 2022, the TSMC Education and to ESG in many areas. The TSMC Charity us to reach the highest standards of cornerstone that enables us to deliver
Culture Foundation relentlessly promoted Foundation is committed to identifying corporate governance, conduct business outstanding financial performances,
various projects, continued to introduce what society really needs by being on with accountability and transparency, and actively respond to stakeholder needs and
corporate resources to the homeland, the frontlines. We share experiences and appropriately balance the interests of all expectations, and increase our company's
enabled education to take root, promoted practices to work with more companies our stakeholders. investment value to generate long-term
arts and culture, and endeavored to build a and increase the scope of our influence. and sustainable returns for investors.
sustainable society of goodness.

Note: Listed in order of the chapters in this report that senior executives are responsible for.

15
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

2022 ESG Reporting to the Board of Directors ESG Steering Committee ESG Committee

2022 Achievements 2022 Achievements 2022 Achievements

● Formulated energy saving targets and actions for each stage, advanced green ● Continued to connect with global ESG standards and ● Strengthened green manufacturing, promoted source
manufacturing performance, and increased purchasing of renewable energy in response management trends and strengthened sustainability reduction and hazardous substance replacement;
to the strategies and targets for Net Zero Emissions by 2050 disclosure and transparency launched the world's first water reclamation plant to
recycle industrial wastewater; bolstered the Environmental
● Continued to support global sustainability trends and leveraged sustainability reports as ● Reviewed the progress of action plans for net zero
Lab's function; continued to increase the in-house
ESG management tools to publish the TSMC UN SDGs Action Report and TSMC Materiality emissions by 2050 to ensure strategies and pathways
recycling rate
Analysis Report for the first time; updated the TSMC TCFD Report and Environmental for energy conservation and carbon reduction as
Profit & Loss Report to strengthen sustainability disclosures and transparency well as stakeholder communication are carried out ● Mitigated climate impacts and increased the use of
effectively renewable energies; the Energy Saving and Carbon
● Promoted low-carbon transformation across the value chain by continuing to strengthen
Reduction Committee proposed 684 energy-conservation
support for suppliers on energy conservation, carbon reduction, water conservation, and ● Promoted the 3rd ESG AWARD to inject new life into the
programs and conserved 700GWh in energy. Formulated
waste reduction; required the supply chain to establish mid- and long-term reduction Company's innovative culture of sustainability; the ESG
the Carbon Credits Quality Standards for Voluntary
goals and propose tangible actions AWARD attracted 1,880 proposals from employees and
Emissions Reductions and completed four carbon credit
organizations, reaching a YoY increase of 50%
● Fostered a diverse and inclusive workplace, promoted employee resource groups, programs; founded the Biodiversity Task Force and
strengthened the cultivation of semiconductor talents, and continued to expand TSMC ● Set and oversaw sustainability-related budgets and launched the Dependencies & Impacts on Nature and
STEM for High School Girls Program financial controls and coordinated the demand, Biodiversity Assessment
allocation, planning, and execution of ESG resources
● Promoted the implementation of AMAZING IDEAS awarded projects in the ESG Award and ● Continued to drive the low-carbon value chain by asking
invited overseas subsidiaries to attend the 3rd ESG AWARD to involve the entire company raw material and equipment suppliers to join the Supplier
CDP Program, promoting the Supplier Material Packaging
White Paper Regulations, expanding the TSMC Supplier
2023 Work Plans Sustainability Academy's scale, and strengthening the
supply chain's sustainable development
● Continue to enhance green manufacturing performance, expand resource recycling, and ● Gradually fostered a diverse and inclusive corporate
develop biodiversity strategies and actions, to steadily move towards net zero emissions culture through the Diversity and Inclusion Committee to
● Work with the supply chain to develop green manufacturing technologies and apply unleash the potential of diverse talent; completed a safety
TSMC's manufacturing standards to create a low-carbon value chain with suppliers culture survey to strive to create the healthiest workplace.

● Cultivate a diverse and inclusive culture, training programs, and related measures, expand ● Completed TSMC's first survey into salient human rights
resource groups, and empower women in tech issues, identified 11 human rights issues and formulated
response measures
● Organize a cross-unit human rights task force and develop TSMC's human rights risk
matrix to act as responsible stewards, promote mitigation and remedy measures, and ● The TSMC Education and Culture Foundation and TSMC
conduct human rights training Charity Foundation strove to bring positive change to
society by supporting education for youth, promoting arts
● Expand TSMC University Collaboration Programs and science literacy programs for high and culture education, empowering people from remote
school students to continue cultivating semiconductor and STEM talent areas, and helping disadvantaged people

16
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Materiality Analysis and Stakeholder Engagement


Materiality analysis is an important guideline for In response to the latest GRI 3: Material Topics 2021, By consolidating the outcome of the materiality conduct comprehensive biennial surveys to observe
TSMC for formulating the Sustainability Report, the World Economic Forum (WEF) suggested that analysis in 2021 as well as the sustainability impact changing trends in ESG issues and track the progress
long-term sustainability goals and stakeholder materiality analysis must consider the concepts of assessment and the results of the Validated of long-term SDGs. The next materiality analysis
engagement. In 2021, TSMC published its first Dynamic Process and Double Materiality advocated Assessment Program (VAP) of the Responsible is scheduled in 2023. In the meantime, TSMC
materiality methodology guideline to establish a by the European Union. TSMC has established Business Alliance (RBA) in 2022, TSMC has re- will continue to collect and respond to feedback
knowledge base for ESG management. According TSMC Dynamic and Double Materiality (TDDM) as identified impactful material issues from the three and suggestions from stakeholders via diverse
to the requirements of GRI Universal Standards the fundamental analysis process to continuously dimensions of Stakeholder Concerns, Organizational communication channels and the Company's ESG
2021, materiality analysis methodology incorporates track changes in stakeholders' level of concern Operating Impact and Sustainable Development Committee will continue to carry out ESG strategies
sustainable development-related impact on the regarding ESG issues. The Company also formulated Impact. At the same time, the Company also and commitments.
economy, environment, and people (human rights). a materiality analysis methodology based on adjusted the frequency of materiality analysis to
Please refer to the TSMC 2021-22 Materiality Analysis dimensions such as organizational operations and
Report for further details. sustainable development-related impact.

Process to Determine Material Issues

Step 1 Step 2 Understand Stakeholder


Identify ESG Issues Stakeholder
Concerns 6 types 1,082 Concerns
Stakeholders
Stakeholders
Participated
Governance: 8 issue Dynamic
Materiality Step 5 Plan a Sustainability
Strategy Map
Define Material
Step 3 Issues

4 issue
Organizational 4 dimensions 206 Reinforce External
14 ESG Material Issues
Economy: Operating Impact Defining the Participated Communication Effectiveness
Company's values managers and
Double or operating Impact colleagues ● Internal/External Consultant
Environment: 5 issue Materiality Confirmation
Compile and Disclose the
● Senior Executive Review
Step 4 Based on the ● Report to the Board of Directors
Sustainability Report

Sustainable outcome of EP&L Evaluation outcome


Society: 6 issue Development Impact and sustainability
impact
of RBA VAP
Identify Positive and
Negative Impact

17
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

TSMC Materiality Matrix


Stakeholder Survey Participation

Water Stewardship Product Quality


789 34 and Safety
Employees Shareholders/ Sustainable
Investors
Supply Chain

123 32 Climate and Energy


Society Customers 1,082位
1,082
Circular Resources Innovation Management

86 18
Suppliers/Contractors Government/Associations Social Impact

Stakeholder Concerns
Occupational Safety Talent Development
and Health

TSMC Management and Employees Survey Participation Talent Attraction


Customer Relations
and Retention
10 35 Air Pollution Control
Senior Vice Presidents/ Managers/Project Managers/
Vice Presidents Technical Managers
Human Rights
26 31
Diversity and Inclusion
Senior Fab Directors/ Assistant Managers/Assistant

Biodiversity
Senior Directors/Fab Project Managers/Assistant
Directors/Directors

12
Technical Managers

43
206
Deputy Fab Directors/ Principal Engineers/
Deputy Directors/Deputy Senior Engineers/
Project Directors/Deputy Engineers
Technical Directors Impact on TSMC's Operations
19 30
Department Managers/ Principal Administrators/ An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power
Senior Managers Senior Administrators/ An Admired Employer Power to Change Society
Administrators Note: Among 23 ESG issues, Corporate governance, financial performance, risk management, business continuity, ethics, information security, taxation,
and regulatory compliance are classified under General Disclosures by GRI and are generally result-oriented. The above issues will, therefore, not
be represented in the materiality matrix but relevant information will be regularly disclosed in the Company's annual report, company website,
sustainability report, ESG website and ESG Newsletter

18
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Material Issues and Value Chain

Upstream TSMC Operation Downstream


Note 1 Note 2 Note 3 Operational Impact
Sustainability
Material Issues GRI-specific Topics SASB Standards
Roles
Procurement Wafer Packaging/ Revenue Customer Employee Operational
Customer Use
Stage Fabrication Testing Growth Satisfaction Cohesion Risks

Innovation Management Indirect Economic Impact Energy TC-SC-410a.2

An Innovation
Product Quality and Safety Customer Health and Safety TC-SC-410a.1
Pioneer

Customer Relations Customer Privacy

Procurement Practices, Supplier


A Responsible
Sustainable Supply Chain Environmental Assessment, Supplier TC-SC-440a.1
Purchaser
Social Assessment

Energy, Emissions, Economic TC-SC-110a.1, TC-SC-


Climate and Energy
Performance 110a.2, TC-SC-130a.1

A Practitioner Water Stewardship Water and Effluents TC-SC-140a.1


of Green Power
Air Pollution Control Emissions

Circular Resources Waste TC-SC-150a.1

Diversity and Inclusion Diversity and Equal Opportunity

Economic Performance, Market Presence,


Talent Attraction and
Labor/Management Relations, Diversity TC-SC-330a.1
Retention
and Equal Opportunity

An Admired Talent Development Training and Education


Employer
Labor/Management Relations,
Nondiscrimination, Freedom of
Human Rights
Association and Collective Bargaining,
Child Labor, Forced or Compulsory Labor

Occupational Safety and TC-SC-320a.1, TC-SC-


Occupational Safety and Health
Health 320a.2

Power to Economic Performance, Indirect


Social Impact
Change Society Economic Impact, Local Communities

Note 1: Upstream boundaries are raw materials, equipment, and related services purchased by TSMC Note 3: Customer Use boundaries are customer products manufactured by TSMC
Note 2: TSMC Operations boundaries are wafer fabrication and packaging/testing services offered by TSMC Note 4: "V" signifies the issue has real impact on this stage or that the issue is a spotlight issue
19
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Material Issues and Risk Management

Product
Innovation Customer Sustainable
Quality and
Management Relations Supply Chain
Safety

Risk Aspects/ Type Failure to foresee Patent Protection - R&D Challenges to product quality and yield Customer cannot acquire necessary technology Concentrated sourcing and suppliers
Strategy changes in technologies results unprotected due service noncompliant with TSMC or regulatory
or develop innovative to lack of patent requirements
Operation technologies
Hazard

Risk Evaluation and Advanced processes TSMC protects R&D Wafer quality control grows increasingly If customers do not know TSMC's technology Disruptions in the supply chain (for raw
involve increasingly results with patents difficult as products become more complex. offering, customers may seek an alternative materials or equipment) will impact Company
Mitigation Measures
complex technologies, to ensure we remain Inability to detect defects could incur a loss to foundry suppliers' solution operations and our commitment to customers
higher production costs, technological leaders our customers and impact company reputation
TSMC collects customers' technology requests Please refer to 6.3 Risk Management in the
and more complicated in the industry and to
If defects remain undetected in raw materials, through irregular and regular review meetings. 2022 Annual Report and Sustainable Supply
supply chains. The protect the operational
it could lead to scrapping the final product, In 2022, there are >944 wafer technologies and Chain in this report
Company's competitive freedom of TSMC
impacting customers and operations >129 advanced packaging technologies available
edge and market share and our customers
to customers
could suffer if we are across the world. Please refer to Product Quality and Safety in
unable to identify Inadequate patent this report Please refer to Customer Relations in this report
technological changes protection could impact
and develop new TSMC's technological
technologies competitiveness
Please refer to Please refer to
Innovation Management Innovation Management
in this report in this report and 6.3
Risk Management in the
2022 Annual Report

Likelihood and Trend


Upwards Almost Certain
Sideways Likely
Downwards Possible
Unlikely
Rare

Impact Catastrophic
Major
Moderate
Minor
Insignificant

20
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Climate and Water Circular Air Pollution


Energy Stewardship Resources Control

Risk Aspects/ Type Operational impact Power Shortage or Outage Water shortage or suspension, and Suppliers failing to properly handle waste will Environmental impact from pollutant emissions
Strategy from climate disasters, environmental impact from wastewater pollute the environment
increasing GHG
Operation emissions, regulations
Hazard against GHG emissions,
and other requirements

Risk Evaluation and Increasing demands Unstable power supply Unstable water supplies will limit production Waste management vendors failing to handle Improper use or failure of air pollution control
Mitigation Measures from stakeholders will limit production capacity, TSMC will therefore be unable to waste in compliance with regulations may equipment could result in excess emissions,
to increase usage of capacity and impact satisfy customer demands subject TSMC to liabilities for waste cleanup penalties from the authorities, and impact on
renewable energy company reputation and environmental recovery, impacting company reputation
Anomalies in effluents will pollute the
could increase costs company reputation
Customer's trust could environment and negatively impact company Please refer to Air Pollution Control in this
and, if demands are not
decline and result in reputation Please refer to Circular Resources in this report report
met, fab construction
fewer orders
progress and customer Please refer to Water Stewardship in this report
orders could be Please refer to Climate
impacted and Energy in this
report
Please refer to Climate
and Energy in this
report

Likelihood and Trend


Upwards Almost Certain
Sideways Likely
Downwards Possible
Unlikely
Rare

Impact Catastrophic
Major
Moderate
Minor
Insignificant

21
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Talent Occupational
Diversity and Talent
Attraction and Human Rights Safety and
Inclusion Development
Retention Health

Risk Aspects/ Type Failure to uncover TSMC Failure to attract or retain a Talents failing to progress Failure to protect the human Earthquakes or Occupational Pandemic
employees' full potentials sufficient number of high- with evolving landscapes rights of TSMC employees fires injuries, occupational
Strategy
quality talents when needed and suppliers diseases, and
Operation chemical hazards
Hazard

Risk Evaluation and The Company's understanding Company operations could The Company's competitive Company reputation, Earthquakes and Employees could Cluster infections
Mitigation Measures of society and grasp of various suffer from failure to attract advantage and growth employee morale, and talent fires could damage suffer from injuries of pandemic could
aspects of the market may and retain a sufficient momentum will suffer if recruitment could suffer from the Company's and diseases due result in disrupted
suffer if the mix of employees number of high-quality talents fail to progress with the lack of proper protection equipment and to non-compliance operations
is unable to reflect the current talents when needed evolving landscapes for the human rights of our result in disrupted to safety guidelines
social landscape, further employees operations and
Please refer to Talent Please refer to Talent
impacting the Company's financial losses
Attraction and Retention in Development in this report Company reputation and
competitive advantage
this report customer's interests could
Please refer to Diversity and suffer from the lack of proper
Inclusion in this report protection for the human Please refer to Occupational Safety and Health in this report and 6.3
rights of suppliers Risk Management in the 2022 Annual Report
Please refer to Human Rights
and Sustainable Supply Chain
in this report

Likelihood and Trend


Upwards Almost Certain
Sideways Likely
Downwards Possible
Unlikely
Rare

Impact Catastrophic
Major
Moderate
Minor
Insignificant

22
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Stakeholder Communication

Employees
Concerned with the continued growth and success of the Company as well as 73 4,218
meaningful work, a safe and healthy workplace, competitive compensation and welfare, Silicon Garden Meetings Cases handled through internal
opportunities to grow, and work-life balance (Labor-management meetings) communication channels

Issues Engagement
● Talent attraction and retention ● Corporate intranet (myTSMC), internal emails, and other announcement channels ● Ombudsman system, whistleblower reporting system,
● Diversity and inclusion (such as promotion posters at facilities), TSMC Newsletter eSilicon Garden/as needed irregular business conduct reporting system, and sexual
● Human resources team/as needed harassment investigation committee/as needed
● Social impact
● Employee training/annually
● Employee Opinion Survey on Company Core Values,
● Talent development Employee Engagement Survey, employee pulse surveys
● Ethics/regulatory compliance
● Communication meetings for various levels of managers and employees; e.g. the and service satisfaction surveys, and employee welfare TSMC provides employees with parenting resources and holds
executives communication meeting, skip levels and communication meetings in committee event questionnaire survey/as needed STEAM Holiday Optoelectronics, Audio, and Science Exploration
individual functions or divisions/quarterly Camp
● Silicon Garden Meetings (labor-management meetings)/
● Employee suggestion channels, such as the Fab Caring Circle, Employee Opinion Box, quarterly
Wellness Center, wellness website, employee PIP & IT Security mailbox and hot line,
etc./as needed

My children learn about the


Focus Areas Responses from TSMC profoundness of science in the
Company's holiday STEAM camp,
Company growth, success and ● Strengthen internal communication channel. Communicate with employees through 73 Silicon Garden Meetings, and 4,218 times
contribution to the society exchange of opinions to let colleagues understand the Company's prospects and they cherish the models made in
An inclusive, diversified and friendly ● Organized a training program on TSMC's Human Rights Policy: Say No to Sexual Harassment and Build up a Friendly Workplace to
the course. This camp has made our
working environment that can unleash enhance awareness of respect and communion with completion rate of 97% family's life and work more manageable
talents' potential ● Held Inclusive Leadership Workshop to support senior executives in understanding the connotation of diversity and inclusion, and during the winter and summer
strengthen the awareness of unconscious bias
● The Research and Development organization established the Diversity and Inclusion Committee
vacations. I am very proud of TSMC.
More ESG activities and social ● Leveraged TSMC ESG AWARD as a platform to promote sustainable thinking and action, and the event was expanded to overseas
participation opportunities for subsidiaries. A total of 1,880 sustainable innovation proposals were collected Shou-Hau Tsai
employees ● Fabs organized community engagement activities such as sending warmth to the disadvantaged, elderly care, and teaching in rural areas TSMC employee
from time to time
Work-life balance and individual growth ● TSMC Child Care Benefit Program supported employees' work-life balance. In 2022, TSMC had 2,368 newborns, accounting for 1.7% of
Taiwan's total infant population
● Established employee resource group Women@tsmc to encourage female colleagues to pursue career goals and self-growth
Latest regulatory updates and ● Provided an Annual Ethics and Compliance Training Course covering various important regulatory compliance topics and a total of 67,922
compliance guideline, i.e., zero employees (including employees in subsidiaries) completed this training course, reaching 99.9% completion rate
tolerance of corruptions, avoiding
conflict of interest, etc.

23
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Shareholders/Investors
Concerned with the investment value of TSMC including market prospects, growth strategy,
363 281
profitability, dividend policies, shareholder returns, and sustainability performance Institutional investors Conferences and meetings

Issues Engagement
● Financial performance ● General shareholders' meeting/annually
● Risk management ● Investor conference/quarterly
● Innovation management ● Domestic and overseas brokerage conferences/as needed
● Climate and energy ● Face-to-face meetings, video conference calls, and telephone conference calls/as needed
● Annual Report, Sustainability Report, and Form 20-F with the U.S. Securities and Exchange Commission/annually 2022 TSMC Annual General Meeting
● Major announcements on the Market Observation Post System/as needed

From governance and employee engagement


to climate change strategy, TSMC has
always exceeded our expectations in terms
Focus Areas Responses from TSMC
of promptness, level of disclosure and
Long-term profitability ● In January 2022, TSMC raised its long-term financial targets to be gross margin of 53% and higher, and ROE of receptiveness to suggestions. Overall we feel
25% and higher
very satisfied with the discussions we have had
over the years with TSMC.
Impact of the international political and economic ● Communicated the impact of international political and economic situation as well as related regulations on
landscape on the business environment and semiconductor demand in investor conferences
corresponding measures Guido Giammattei
Portfolio Manager
Overseas investment operation risk and its impact on ● Communicated the overseas fab construction plan and strategy in investor conferences RBC Global Asset Management (UK) Limited
long-term profitability

Supply-demand dynamics in the industry ● Continued to communicate with investors about TSMC's technology roadmap and mass production timeline in
quarterly investor conferences

Technology development and competitive advantage ● Continued to communicate with investors about recent development of technology in quarterly investor
conferences

Climate change responsive measures and supplier ● In 2022, TSMC achieved net zero emissions of Scope 1 and Scope 2 in overseas factories, and 100% use of
management carbon-neutral natural gas in Taiwan fabs. Also, required critical suppliers to join CDP, receive third-party audits,
continuing to strengthen low-carbon supply chain development

24
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Customers
Concerned with TSMC's technology development and production planning, including production capacity, 100 1,200
product quality and safety, that meets customer demands, comprehensive protection of proprietary customer Quarterly assessment Customer meetings
information assisting customers with successful production application and gaining time-to-market advantage meetings

Issues Engagement
● Innovation management ● Business and technology assessment/quarterly
● Product quality and safety ● Customer satisfaction survey/ annually
● Customer relations ● Customer meetings/as needed

TSMC adheres to the values of Integrity, Innovation, Commitment, and


Customer Trust, focusing on improving the core capabilities

A long standing partner, trusted. They


are someone we can count on to keep a
Focus Areas Responses from TSMC commitment once the commitment is made.
They are extremely professional.
Technology development schedules and plans ● Offered 944 process technologies and 129 advanced packaging technologies in line with the technology roadmap

Product quality ● Continued perfecting production technologies and product quality. Reduced engineering deficiencies per one million NVIDIA Corporation
12-inch wafers to 35% of 2019

Capacity planning and production information ● In 2022, around 3,000 person-times on average made use of the upgraded TSMC-Online™ to access comprehensive
technology and production information services engineering everyday

Business resilience and continuity management ● Held Crisis Management Team Staff Training to speed up decision-making and improve decision-making quality
● Fabs held the tabletop emergency response drill evaluation and result sharing activities, referring to FEMA Homeland
Security Exercise and Evaluation Program (HSEEP) exercise planning standards, to strengthen organizational resilience

25
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Suppliers/Contractors
Concerned with the development of new process technologies, improving product quality, 178 201
ESH regulations, ethics and regulatory compliance, and information security; desire to Supplier audit and Suppliers participated in the
strengthen and deepen partnerships for sustainable supply chain management communication meetings Supply Chain ESH Training Forum

Issues Engagement
● Sustainable supply chain ● Supply Chain ESH Training/annually
● Ethics/regulatory compliance ● Supply Online 360 Global Responsible Supply Chain Platform/as needed
● Product quality and safety ● On-site support and audit/as needed
● Occupational health and safety ● Supplier meetings/as needed
● Information management ● Supplier Information Security Association Meeting/monthly TSMC issues Certificate of Appreciation for the Energy Saving and Carbon
● Climate and energy Reduction Counseling Project to suppliers

In the face of drastic changes in the global


climate and limited resources, we uphold
the values of "innovation, responsibility,
Focus Areas Responses from TSMC commitment, and customer partnership" and
Sustainable actions and consistent ● Launched four Supplier Code of Conduct lessons on TSMC Supplier Sustainability Academy of Supply Online 360, TSMC's work together with TSMC to develop green
improvement global supply chain management platform hydrofluoric acid for sustainable circular
resources and sustainable development.
TSMC regulations on ethics and the supplier ● All tier 1 suppliers signed the Supplier Code of Conduct and complied with business ethics (completion rate 100%)
code of conduct
Jian-Wei Lin
Quality of raw material ● 60 critical suppliers completed third-party supplier audits on sustainability risks by RBA-certified institutions; ten General Manager
suppliers received consultation on process advancement and quality improvement SUNLIT FLUO & CHEMICAL CO., LTD

Effective ESH management mechanisms ● Continued to enhance ESH and loss prevention capabilities in the supply chain and commended outstanding suppliers.
Sustainable development is the foundation
In 2022, Chang Chun Group was awarded ESH Outstanding Supplier, and Jing He Science for ESH Advancement Supplier
of our work, the core mission of improving
Information security compliance, assessment ● Published four issues of Supply Chain Security Newsletter and reached over 350,000 person-times productivity, and the key to creating value for
results, and experience sharing TSMC and society.
Jhong-Ming Bao
Management of carbon emissions ● Required 137 raw material and equipment suppliers to participate in CDP supplier carbon disclosure project General Manager
United Industrial Gases Co., Ltd.

26
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Government/Industry Associations
Concerned with the development of advanced process technologies, ESG actions, 39 102
overseas investments, and environmental regulations revision trends; sharing of Government Associations
occupational safety and health management experience and discussions on regulations Administrations

Issues Engagement
● Innovation management ● Official correspondences and visits/as needed
● Ethics/regulatory compliance ● Offer industry experience and advice/as needed
● Climate and energy ● Conferences (e.g., briefings, public hearings, symposia, seminars, meetups)/as needed
● Circular resources ● Industry association communication platforms/monthly
● Water stewardship
● Sustainable supply chain

TSMC, as a member of Taiwan Carbon Capture Storage and


Utilization Association (TCCSUA) changes experiences with the
Focus Areas Responses from TSMC Japanese carbon capture and reuse demonstration plant

Industry specification formulation/ ● Introduce the semiconductor development trend of advanced process technology and TSMC's technologies to the US Patent Office
Intellectual property/Trade secret protection ● Participated in Taiwan Association for Trade Secret Protection, providing regulatory revision and implementation suggestions

Corporate governance, regulatory ● Participated in explanatory meetings on the latest regulations of export control, and conduct practice exchanges In addition to actively reducing
compliances and risk management ● Formulate TSMC's Risk Management Policy and corporate risk management framework with reference to relevant guidelines of risk carbon emissions, TSMC also exerts
management stipulated by the Taiwan Stock Exchange Corporation
its influence and drives the value
Carbon credits transactions/Circular ● Established a carbon credits working group to plan long-term purchases of carbon credits, and cooperated with industry associations to chain to work hard to mitigate climate
resources/Sustainable water stewardship propose the establishment of carbon rights transactions to the government
change. The Company is indeed a
● Cooperated with Environmental Protection Agency's Resource Recycling Waste Cleanup Plan Program to submit an application for circular
resource demonstration cases, assisting the government to promote the program
model.
● TSMC sites in Southern Taiwan Science Park began to use recycled water, and set a 2030 target of 60% of the Company's water resources
came from recycled water James C. Liao
President
Response, suggestion and promotion ● Represented Taiwan Semiconductor Industry Association to communicate with Environmental Protection Agency to assist the revision a Academia Sinica
of environmental protection related more reasonable and feasible Semiconductor Industry Air Pollutant Emission Standard and Stationary Pollution Sources that Should Be
regulations Regularly Tested and Reported in Public and Private Occasions to promote the industry's positive development

Supply chain sustainability and ● In addition to Supplier Environment, Safety and Health Forum, practical operation of fire protection equipment, observation of emergency
environmental safety and health response drills, on-site diagnosis and guidance of energy saving and carbon reduction, Environmental Safety and Health, Fire Response,
management improvement Fire Protection Self-training, Greenhouse Gas Inventory, Product Carbon Footprint Inventory Workshops, etc. were added in 2022

27
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Society
Concerned with the support for remote education and the disadvantaged, Network of Compassion, Public
Welfare Green Energy Project, and Cherish Food Program provided by the TSMC Charity Foundation 130 171
Concerned with the resources for education and arts offered by the TSMC Education and Culture Charity partners Charity programs
Foundation to cultivate well-rounded talents for the new era

Issues Engagement
● Social impact ● Volunteer services/at least once per week
● TSMC LinkedIn/as needed
● TSMC Education and Culture Foundation and TSMC Charity Foundation websites/as needed
● Sending Love charity platform/as needed
● Project cooperation and visits/as needed New Generation Talent Cultivation Forum
● TSMC ESG Newsletter/monthly

TSMC Education and Culture Foundation


inspires everyone's ideals, enthusiasm and
curiosity about science, so that everyone can
Focus Areas Responses from TSMC
find a stage.
Chuan-Chin Chiao
Young Generation Cultivation, Educational ● In 2022, TSMC Education and Culture Foundation invested NT$100.2 million to expand supports for diverse education Director
Collaboration, Arts and Culture Promotion and the promotion of art and culture with three major axes: Young Generation Cultivation, Educational Collaboration, National Museum of Natural Science
Arts and Culture Promotion. The seventh TSMC Udreamer Project themed Young and Sustainable Island encouraged
young people to care about sustainability and put it into action. A total of 161 groups of students participated with an
increase of 32% compared to 2021. The Foundation also held TSMC Journeys of Female Scientists Lectures to encourage
high school girls to explore STEM. As of 2022, 3,225 people have attended the event. Thanks to TSMC Charity Foundation for co-
organizing Technical and Vocational Talent
Local community services, the needs of the ● In 2022, TSMC Charity Foundation continued to committed itself to championing social causes of Care for the Elderly, Development Forum, and initiate the job
underprivileged and education Promote Filial Piety, and Protect the Environment, and renamed the focus Care for the Disadvantaged as Empower
the Rural Community to strengthen their education and employment. In addition, the Foundation linked industry, matching program for vocational high school
Responses to major incidents (e.g., Hualien and government and academia resources to provide stable life and operational support for disadvantaged groups and students. This endeavor garnered industry
institutions. It repaired 285 houses for Hualien and Taitung earthquake-stricken residents, and cared for 62 vulnerable
Taitung Earthquake), volunteer services, and resources to initiate new thinking on talent
dedications in environmental education households of seniors living alone. A total of 7,607 volunteers devoted in 2022, and the service hours accumulated
reached 31,760 hours, with a total investment of NT$223.07 million development.
Terry Tsao
Global Chief Marketing Officer & President of Taiwan
SEMI

28
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Sustainability Impact
The long-term value of a company hinges on positive impacts generated and negative impacts mitigated Company's six major capitals, four core elements, six sustainable management competencies, and an
for stakeholders, which includes driving output value from the semiconductor industry chain, helping Environmental Profit and Loss (EP&L) valuation model. It aims to, from an Outside-In perspective, measure
customers leverage the competitive edge of products, protecting natural ecosystems and biodiversity, changes and contributions to the well-being of humans from the Company's overall value chain and
creating direct and indirect job opportunities, and preventing health or safety hazards. The Triple Bottom facilitate communication with stakeholders in the hopes of driving economic growth, reducing resource
Line (TBL) is a sustainable impact management framework developed by TSMC. TBL is grounded in the depletion, and further bettering the well-being of society as a whole.

Six Major Capitals Process and Methods

Finance
Senior Management Mid-level Management Innovation R&D Supply Chain
Generate economic value and returns through Support Participation
the effective management of financial resources ● Innovation Management
Chairman Dr. Mark Liu Mid-level management Management ● Sustainable Supply
is personally engaged is the backbone of the Chain
● Product Quality
in ESG efforts and has Company's pursuit of
Manufacturing invited senior executives sustainability, cooperating
and Safety
Provide services that customers need and to lead their functional across organizations and
carefully maintain manufacturing resources for organizations in proposing departments in the face
equipment and buildings sustainable solutions of complex sustainability Human Environmental
based on core issues to bring about real Resource Management
competencies in change
Intelligence order to expand
Management ● Climate and Energy
Strengthen TSMC's knowledge capital through positive ● Diversity and Inclusion Six ● Water Stewardship
continued investment into innovative R&D and influence
Sustainable
● Talent Retention and Cultivation Circular Resources
patents Management ●

Four Core ● Talent Development Competencies ● Air Pollution Control


ESG Governance Elements Organization ● Human Rights Integrity
Human Resources
Culture ● Occupational Safety and Health Leadership
Recruit like-minded talent and strengthen talent The ESG Steering
cultivation so employees may grow with the Committee and ESG Foster an organizational
Company Committee meet regularly culture that doesn't make
to formulate long- commitments casually,
term strategies, engage but is fully devoted to Customer Stakeholder
Environment in interdepartmental the commitment when it Service Engagement
Reduce consumption of natural resources and communication and does. Set long-term goals ● Customer ● Social Impact
maintain optimal usage efficiency through source cooperation, as well for sustainability issues, Relations
management as supervise program strive for change, review
progress and performance progress regularly, and
to maintain momentum continue to commit to
Value Chain: Procurement TSMC Operations Customer Use
Society for sustainability within the doing better
Give back to society and obtain its trust through organization
social participation Operational Impact: Employee Cohesion Operational Risks Revenue Growth Customer Satisfaction

(continue on the next page) 29


Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Sustainability Impact Strategy MapNote

TSMC has established a Sustainability Impact Strategy Map derived from causal relationships by converting Economic Impacts Environmental Impacts Social Impacts
all positive impacts (values) and negative impact (costs) into monetary values, beginning from the direct and Operational Input/ Output Measures to mitigate negative impacts Causal Relationship
indirect impacts of upstream procurement, TSMC operations, and customer use.

Indirect Impact Direct Impact Indirect Impact


Upstream Company Customer
Procurement Operations Use

Cash Net
Dividend Income
Industry
+ Output Value Corporate
Energy-
Innovative
Compensation Net Revenue Compensation R&D Patents efficient
Drive by Volunteer Products
Positive TSMC
Products
Impact Depreciation/
Taxes
Amortization

Industry Energy- Optimal


Procurement Job Oppor- Production/ Job Oppor- Energy- High
Supply & efficient Surface
Demand tunities Operations tunities efficient Performance
Demand Sales Area

Source Reduction
Resource Suppliers Guidance Pollutant Energy Efficient Resource Terminal
Pollutant Customer Advanced
Consump- Emissions Processes Consump- Control
Reduction Goals Emissions Designs Processes
tion tion
Reuse


Negative Water Greenhouse
Air Pollution Effluents
Occupational Water Greenhouse
Air Pollution Waste Effluents
Occupational
Consumption Gases Injury Consumption Gases Injury
Impact

Investors: Provide reliable dividends and returns to investors Suppliers/ Contractors: Drive output value and transformation in the Government/ Industry Associations: Generate tax revenue and
semiconductor industry social welfare for the government
Customers: Help customers realize product application and success Society: Protect natural capital through EP&L Employees: Create job opportunities and employee benefit 30
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Sustainable Value

Impact ESG Impacted


Indicators
Attributions Dimensions
Impact Level Impact Trend
Stakeholders
+ Positive Impact - Negative Impact
Unit: NT$ Million
●●●●●●●●
Supply Chain Output
Value Driven by TSMC
Monetary Value Impact Level Monetary Value Impact Level
●●●●●●●●
Supply Chain Employee
CompensationNote 4
GHG from the Supply
●●●●●●●● >1,000,000 ●●●●●●●● < -1,000,000 ●●●●●●●●
ChainNote 2
Upstream
●●●●●●●●
Procurement Air Pollution from the
Supply ChainNote 2 500,000 to 1,000,000 ●●●●●●●● -500,000 to -1,000,000 ●●●●●●●●
Effluents from the The methodology is
Supply Chain developing
100,000 to 500,000 ●●●●●●●● -100,000 to -500,000 ●●●●●●●●
Water Consumption The methodology is
from the Supply Chain developing
50,000 to 100,000 ●●●●●●●● -50,000 to -100,000 ●●●●●●●●
●●●●●●●●
Contractor Employee
Occupational InjuryNote 3
Cash Dividend ●●●●●●●● 10,000 to 50,000 ●●●●●●●● -10,000 to -50,000 ●●●●●●●●
Net Income ●●●●●●●●

Net Revenue ●●●●●●●● 1,000 to 10,000 ●●●●●●●● -1,000 to -10,000 ●●●●●●●●

●●●●●●●●
Depreciation &
Amortization 100 to 1,000 ●●●●●●●● -100 to -1,000 ●●●●●●●●
Taxes ●●●●●●●●

Employee Compensation ●●●●●●●● 0 to 100 ●●●●●●●● 0 to -100 ●●●●●●●●


TSMC
Operations Occupational Injury Note 3
●●●●●●●●

●●●●●●●●
Note 1: Environmental Profit and Loss (EP&L) presented in this section is the monetary assessment of possible external
Corporate VolunteersNote 5 impacts from TSMC's purchasing and production. For the costs and economic benefits arising from the
●●●●●●●●
Note 1 implementation of environmental protection projects, please refer to Environmental Cost in TSMC's 2022 annual
GHG report. For the EP&L methodology, please refer to the TSMC 2022 Environmental Profit and Loss (EP&L) Report
Air Pollution Note 1
●●●●●●●● Note 2: EP&L of the supply chain includes only Tier 1 suppliers which had more than three transactions with TSMC per
year and with amounts exceeding NT$10 million. A total of 1,050 suppliers meet the criteria. Their environmental
●●●●●●●●
impact is then calculated through Environmentally Extended Input Output (EEIO) analysis
WasteNote 1
Note 3: Value of Occupational Injury = Cost of Occupational Injury + Medical Expenses + Amount TSMC is Willing to Pay
Effluents Note 1
●●●●●●●● to Prevent Occupational Disasters
Note 4: Supply Chain Employee Compensation = Procurement amount is input into the EXIOBASE 2 database and
Water Consumption Note 1
●●●●●●●● calculated using relevant compensation coefficients based on the suppliers' industry and location
Note 5: Corporate Volunteer Value = Volunteer Service Hours * Average TSMC Employee Hourly Income
The methodology is Note 6: Product energy saving data is calculated based on the research model proposed by Industry, Science and
R&D Patents
developing Technology International Strategy Center, ITRI in 2020. Since the model predicts future scenarios using global
power usage conditions up until 2020, the estimated calculation of product energy saving is calculated since
●●●●●●●●
Energy-efficient
2020
Customer ProductsNote 6
Use The methodology is
Innovative Products
developing History Data (2018~2022)

Impacted Stakeholders: Shareholders/Investors Customers Suppliers Contractors Society Government/ Industry Associations Employees Impact Attributions: Direct Impact Indirect Impact
ESG Dimensions: Economic Environmental Social 31
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

In the upstream procurement stage, TSMC leverages In the operation stage, TSMC uses a Gross Value TSMC proactively drives green manufacturing by
its leadership position in the global semiconductor Added (GVA) approach to assess the positive impact working on creating positive impact through source
industry to improve the technology and capability generated for stakeholders by operations. Such reduction, energy conservation in manufacturing
of local suppliers. TSMC employs an input-output positive impact may include equal employment process, circular economy, and terminal control. For
model to assess output value, job opportunities, opportunities, competitive compensation and details, please refer to the TSMC 2022 Environmental
and income created from TSMC's procurement benefits, cash dividends, taxes, depreciation Profit and Loss (EP&L) Report.
demands as well as the resulting economic growth and amortization, and others. TSMC also applies
and improvements in living conditions. To address Willingness to Pay (WTP) and Value Transfer to In the customer use stage, TSMC continues
supply chain sustainability issues, TSMC is actively evaluate the social cost and benefits of occupational to develop world-leading energy-efficient
promoting a responsible supply chain, using injury and volunteer activities. Meanwhile, the semiconductor technologies to help customers
environmentally extended input-output (EEIO) Company continues to employ environmental profit produce advanced, energy-efficient products
analysis to identify environmental hot spots in the and loss (EP&L) to measure the negative impacts and facilitate the evolution of energy-saving ICT
supply chain, and evaluating raw material suppliers generated from energy/resource consumption and technologies and product applications to fulfill the
with Life Cycle Assessment (LCA) to identify the pollution from the production process. In 2022, commitment to green manufacturing from the
environmental impact from production and service TSMC generated NT$2,263.9 billion in operating inside out. The Industry, Science and Technology
processes. TSMC aims to collaborate with suppliers revenue, booked NT$437.3 billion in depreciation International Strategy Center (ISTI) conducted a
to uncover opportunities for change and growth and amortization, and issued NT$285.2 billion in cash model analysis based on global energy consumption,
to help drive sustainable transformation. In 2022, dividends. TSMC not only helped customers succeed, GDP, and the number of electronic products,
TSMC created an output value of NT$2,070.8 billion but also offered good returns to its investors. In and found that the products TSMC produces for
in the supply chain through procurement, generated the social dimension, TSMC paid NT$340.9 billion customers will conserve 217,100 GWh in 2030,
309,000 job opportunities and NT$238.52 billion in taxes and payroll, supported the government representing four times the energy consumed
in payroll through the supply chain. Contractors' in expanding infrastructure and social welfare, during production and a positive impact of NT$174.2
occupational injuries resulted in NT$1.72 million improved quality of life, and drove economic growth. billion generated. TSMC effectively facilitates global
in social costs. The environmental footprints and Volunteer services from TSMC also created NT$52.86 energy conservation by continuing to innovate
resource consumption generated from raw material million in social benefits, while occupational semiconductor technologies to realize smart
supply resulted in an environmental cost of NT$16.5 injuries resulted in NT$9.3 million in social costs. applications for a wide range of electronic products.
billion environmental cost. Through consultation and In the environmental dimension, environmental TSMC deployed 288 distinct process technologies,
goal setting, TSMC will cooperate with suppliers to footprints and resource consumption generated and manufactured 12,698 products for 532
uncover the opportunities for process optimization from production process or when delivering services customers in 2022 to continue to bring significant
and environment footprint minimization. For details, resulted in an environmental cost of NT$17.89 contributions to the advancement of modern society.
please refer to the TSMC 2022 Environmental Profit billion. To mitigate the environmental impact, For details, please refer to Innovation Management
and Loss (EP&L) Report. in this Report.
TSMC continues to innovate in green technology and strives to reduce
the environmental impact from its operations

32
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

TSMC is dedicated to reducing environmental and 10.6% and 1.3%, respectively, but unit environmental In terms of the supply chain, environmental hot As of 2022, TSMC has audited 95 raw materials and
social impacts and introduced EP&L in 2018 to externalities decreased by 12.5% compared with spot analysis of the supply chain reveals the most discovered that OSAT and Substrate the largest
evaluate environmental externalities and resulting 2018. To reduce GHG emissions, TSMC continues to significant externalities as particle pollutants' number of environmental externalities out of all raw
social costs created from the production process. In promote low-carbon manufacturing, increase energy impact on human health, followed by the social materials.
2019, TSMC further applied EP&L to the upstream efficiency, and expand use of renewable energy. In cost of carbon from GHG emissions. To reduce
supply chain, converting the environmental impact 2022, overseas production locations achieved net impacts from air pollution emitted by the supply TSMC continues to roll out a variety of green and
generated from product life cycles into external zero emissions in Scope 1 and Scope 2 for the first chain, TSMC helps suppliers mitigate pollutant innovative practices to reduce environmental
social costs, identifying significant environmental time. To mitigate air pollution, TSMC adopts Best emissions from the source through environmental impacts from production processes at TSMC
impact factors to formulate improvement measures Available Technologies (CAT) to reduce emissions protection audit programs. For example, TSMC helps facilities. TSMC is also asking suppliers to establish
and reduce the environmental externalities and of two major pollutants – acid & alkali gases and suppliers convert oil burners to natural gas burners, management systems as well as energy saving,
social costs generated from TSMC procurement. volatile organic gases – and further develops new effectively reducing PM2.5 and GHG emissions, and water conservation, GHG emissions, and waste
technologies to reduce PM2.5 and nitrous oxide this successful case study was shared with other reduction goals. The company hopes to work with
In terms of TSMC operations, in 2022, environmental emissions. To prevent and control water pollution, suppliers at the TSMC Supplier Sustainability Forum. suppliers to create a green, low-carbon supply
externalities were mainly derived from GHG TSMC continues to focus on introducing new In addition, TSMC found that chemical materials chain, reduce environmental impacts from operating
emissions (>96.5%), followed by air pollution treatment technologies to lower chemical oxygen account for 43.2% of environmental externalities activities, and create positive influence on society
(1.2%) and waste (1%). TSMC's overall and unit demand (COD) in wastewater and reduce the water produced by the supply chain, as such, the Company together. For more details, please refer to TSMC
environmental externalities increased from 2021 by pollution composite indicator. conducted audits on critical raw material suppliers. 2022 Environmental Profit & Loss (EP&L) Report.

Environmental Hotspot Analysis of the


Environmental Externalities Trends Unit: NT$ billion Supply Chain

↓ 12.5%
Others Note
12.43 13.16 14.57 15.58 17.26 Pollution
Chemicals
1.8% 2.8%
0.03 0.03 0.04 0.04 0.05 Control

0.25 0.21 0.21 0.24 0.22 Metals


0.10 0.12 0.17 0.18 0.17 Decrease in environmental externalities per
0.09 0.09 0.12 0.15 0.18 Electronic
7.5% unit product (compared with 2018)
Components
8.1%

Construction
9.0%
43.2% 1,050
28.24 Engineering Tier 1 suppliers analyzed for environment
26.81 25.10 hot spot

95
23.18 23.47
27.6%

Accumulated critical raw material audited


Machinery & (22 items increased in 2022)
Equipment
2018 2019 2020 2021 2022
GHG Water Consumption Air Pollution Effluents Waste EP&L Intensity (NT$/12" wafer mask layer) Note: Others include: Textile products, paper products, printing and
reproduction of recorded media, plastic products, computers,
electronics, and optical products, electrical equipment, retail,
land transport, transport auxiliaries & storage, food & beverage,
communication services, telecommunication services, information
services, professional, scientific, and technical services, rental,
support services, medical care & healthcare, and other services
33
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Case Study

Supply Chain Energy Conservation and Carbon


Reduction Initiatives
In 2022, TSMC leveraged EP&L analysis to compare chemical and gas suppliers against peers producing the same products and
using the same raw materials to conduct variance analysis. TSMC discovered that suppliers with ISO 50001 Energy Management
System certificates have significantly better energy use efficiency than those without. Given such findings, TSMC has formulated
three major initiatives to help the supply chain conserve energy and reduce carbon emissions.

TSMC hopes to help suppliers evaluate environmental risks and opportunities, reducing resource consumption through a
series of initiatives targeting energy conservation and carbon reduction. This can further strengthen the supply chain's green
performance and resilience, striving towards a low-carbon economy.

Three MajorInitiatives to Help the Supply Chain Conserve Energy and Reduce Carbon Emissions

1 2 3
Require critical energy-intensive Continue to work with Industrial Help critical suppliers introduce
suppliers to obtain ISO 50001 Development Bureau of Ministry energy conservation and low-
Energy Management System of Economic Affairs (MOEA) to carbon concepts when designing
certificates and include ISO roll out energy conservation new facilities. Main programs
50001 as a requirement in TSMC and carbon reduction include adopting low-carbon
Supplier Sustainability Standards support programs targeting raw materials and energy-
critical suppliers and share efficient equipment, as well as
TSMC's energy conservation complying with green building
experiences standards and ISO 50001 Energy
Management System

TSMC shares experiences on energy conservation with suppliers to strengthen sustainability actions

34
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Carry Out the UN Sustainable Development Goals


To support the United Nations' Sustainable Development Goals (UN SDGs) and trends in corporate disclosure, In 2023, TSMC will issue the second UN SDGs Action Report to demonstrate our support for The 2030 Agenda
TSMC has complied with Integrating the Sustainable Development Goals into Corporate Reporting: A Practical for Sustainable Development.
Guide jointly published by the Global Reporting Initiative (GRI) and The United Nations Global Compact to
identify SDGs relevant to the Company, and has published CSR Reports since 2017. In 2022, TSMC further Under the leadership of ESG Steering Committee Chairman Mark Liu, TSMC continued to spotlight nine SDGs:
adopted five disclosure categories – Plans, Commitments, Actions, Progress, and Suppliers – defined by GRI SDG 3 (Good Health and Wellbeing), SDG 4 (Quality Education), SDG 6 (Clean Water and Sanitation), SDG 7
and Support the Goals to disclose TSMC's practices and progress toward SDGs. The Company also decided (Affordable and Clean Energy), SDG 8 (Decent Work and Economic Growth), SDG 9 (Industry, Innovation and
to expand the single stakeholder from supplier to also cover employees, shareholders/investors, customers, Infrastructure), SDG 12 (Responsible Consumption and Production), SDG 13 (Climate Action), and SDG 17
contractors, governments/industry associations, and the society to connect more resources and extend the (Partnership for the Goals). TSMC has initiated 23 ESG actions and set 52 measurable long-term goals for 2030
scope of influence. Achievements in related actions have been published in TSMC's first UN SDGs Action Report. to effect change through tangible actions.

ESG Directions Process and Reporting TSMC Sustainability Roles

Drive Green Manufacturing TSMC identifies the link between SDGs, the five sustainability roles, and value chain stages through An Innovation Pioneer
Implement green production, the following three steps: Understand & Prioritize SDGs, Goals & Integration, and Disclosure and
innovate high-efficiency As the world's largest dedicated IC foundry, TSMC works with customers to accelerate and
Communication. Long-term goals and action plans are then formulated accordingly. unleash innovation through its its pioneering business model and robust R&D capabilities to
semiconductor technologies to

1
mitigate climate change, and drive infinite possibilities in technological progress and sustainable developments through the
pursue sustainable development
Understand
2
Goals and
ubiquitous semiconductor

A Responsible Purchaser
Build a Responsible Supply
台積公司採
and Prioritize Cooperation Plan Integration TSMC is committed to responsible purchasing and works closely with supply chain partners on
Chain
購 原 物with
料、
SDGs Cooperate Declare support technology, quality, delivery, human rights, and environmental protection. In the face of serious
Collaborate with suppliers
設備及相關
stakeholders for SDGs climate change challenges, the Company will further strengthen green innovation and climate
and contractors to promote
sustainable operations and 服務 resilience and strive to create a low-carbon semiconductor supply chain
manufacturing standards
Create a Diverse and
9 52 A Practitioner of Green Power
SDGs Long-term TSMC aspires to be a world-leading benchmark organization in environmental protection and
Inclusive Workplace Goals actively integrates green management into daily operations. The Company applies innovative
Establish a safe and healthy technologies to climate and energy, water management, circular resources, and air pollution
workplace that respects human control, promoting a comprehensive range of sustainable actions to strengthen environmental
rights and unleashes employees' Upstream TSMC Customer protection and act on our firm belief in prospering with the earth's ecosystem
full potential Procurement Operations Use
Develop Talent Progress TSMC purchases TSMC offers TSMC provides Commitment An Admired Employer
Support career development raw materials, wafer fabrication fully-produced TSMC values its commitment to employees and works to foster a humanistic workplace culture
Track equipment, and and packaging/ customer Set
and collaborate with academia progress measurable with open communications. The Company is dedicated to promoting a diverse, inclusive, safe,
to develop STEAM (Science, related services testing services products
goals and fun workplace where employees can continue to learn. TSMC also provides competitive
Technology, Engineering, Art

3
compensation and welfare, striving to be a company that employees can be proud of
and Mathematics) programs
that cultivate talent
Power to Change Society
Care for the Disadvantaged Disclosure and
Committed to uplifting society, the TSMC Education and Culture Foundation and TSMC Charity
Communication

23
Aggregate resources to deploy Foundation spotlight the changing social landscape and its needs. The two foundations
educational, cultural and social
Action
integrate and invest internal and external resources to empower young students of all ages,
programs that reduces inequality Take actions care for remote areas and the disadvantaged, elderly people living alone, and foster art and
and uphold the common good Sustainable cultural literacy to lay the foundation for a society with common good, beauty, and kindness
Actions
35
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

An Innovation Pioneer
As the world's largest dedicated IC foundry, TSMC works with customers to accelerate and unleash innovation through
its pioneering business model and robust R&D capabilities to drive infinite possibilities in technological progress and
sustainable developments through the ubiquitous semiconductor.

>8,500 / >40,000 272 944 / 129


Patent applications globally / trade secrets registered Innovative testing methods developed for Provide customers process technologies /
quality and reliability to enhance product, advanced packaging technologies
technology and quality

Innovation Management Product Quality and Safety Customer Relations

36
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Innovation Management
Strategies 2030 Goals 2023 Targets 2022 Achievements

Maintain Technology Leadership


Continuous investment in advanced Maintain TSMC's technology leadership and Maintain TSMC's technology leadership and Invested 7.2% of revenue into R&D
technology development to maintain invest 8.5% of revenue into R&D expenses invest 8.5% of revenue into R&D expenses Target: 8.5%
Note1

TSMC's technology leadership in the annually annually


semiconductor industry
Begin volume production of enhanced 3nm 3nm process technology in volume production
process (N3E) Target: 3nm process technology in volume production

Protect Intellectual Property


Patent protection: Strengthen quality Over 80,000 global patent applications Exceed 7,500 global patent applications Submitted over 8,500 global patent applications
and quantity driven patent management, Target: >6,600
apply early for patents on next-generation
process technologies, and expand the Over 200,000 trade secret registrations Exceed 40,000 trade secret registrations Registered over 40,000 trade secrets
patent protection network to maintain Target: >25,000
TSMC's technology leadership Share TSMC's trade secret registration Shared TSMC's trade secret registration mechanism
Trade secret protection: Strengthen mechanism with eight companies with 17 companies
business operations and intellectual Target: 6
property innovation by recording,
consolidating, and utilizing trade secrets Assist six companies to successfully build a Assisted 12 companies to successfully build a trade
with competitive corporate advantages trade secret registration and management secret registration and management system
through trade secret registration and system Target: 2
management Exceed 500 green trade secret registrations

Enhance Industry-Academia
Collaboration Cultivate more than 8,000 undergraduate and Cultivate more than 8,000 undergraduate and Launched a variety of industry-academia
Link academic institutions in Taiwan graduate students globally through university graduate students globally through university collaboration projects, reaching >6,800
and overseas by investing resources programs that deepen industry-academia programs to enhance industry-academia undergraduate and graduate students globally
in university programs based on a collaboration Note 2 collaboration Target: 5,500
long-term mechanism for interaction
Cultivate more than 35,000 undergraduate and Cultivate more than 10,000 undergraduate and
to cultivate the next-generation
graduate students globally through university graduate students globally through university
semiconductor talent
programs that deepen industry-academia programs that deepen industry-academia
collaboration between 2021 and 2030 NEW collaboration between 2021 and 2023 NEW

Note 1: Since 2013, TSMC has consistently set new revenue and R&D expense records every year. The decline in R&D expenses to revenue percentage in recent years can largely be Exceeded Achieved Missed Target
attributed to revenue growth outpacing growth in R&D expenses. In 2022, R&D expenses amounted to US$5,472 million, 3.4 times more than ten years ago. For historical
data on revenue and R&D expenses, please see Continuous Investment in R&D 37
Note 2: Industry-academia collaboration projects include various academic programs, internships, cooperative education programs, etc
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Originating from Dr. Morris Chang's ground- Innovation Management Framework


breaking idea of "being everyone's foundry", TSMC
became the world's first dedicated IC foundry in
1987 and has since given rise to a thriving fabless
● Technology Leadership ● Innovation Cases
● Intellectual Property Protection – TSMC Enables More Than 1,500 Startup Customers – Green Energy Cycle: 2.2 kWh of Green Energy from
IC design industry. TSMC continues to drive
Worldwide to Plant Seeds of Innovation 1 Metric Ton of Organic Sludge
innovation and improve people's lives and societies – Comprehensive Patent
Management Mechanism – Strengthen Yield Monitoring System with AI; Effectiveness – Improve Corona Technologies in Air Pollution
with technology. Innovation remains, to this day, a
of Auxiliary Recognition Model Reaches 98% Control Equipment to Reduce Alkaline Gases and
proud corporate value and integral cornerstone for – Trade Secret Protection
PM2.5 by over 90%
● Intelligent Precision Manufacturing – Consistent Efforts to Optimize Energy Efficiency in EUV
technology leadership. Within the Company, TSMC – Artificial Intelligence (AI) Job Hazard Analysis
Tools Save 60 GWh Electricity per Year
encourages employees to innovate by launching Technology Enhances Workplace Safety
innovation-sharing platforms, contests, and other
incentives for different organizations. Outside of
the Company, TSMC explores product innovation
with customers, fosters new talent with schools
and research institutes, and advocates for a green
supply chain with upstream and downstream
suppliers. TSMC remains consistently devoted to
innovation both internally and externally. Accumulate Enable Innovate through
Internal Innovation Cross-Sector
Innovation Collaboration
Energy

● Idea Forums with participation ● Total Quality Excellence and ● Open Innovation Platform® (OIP) ● Course Collaboration
from organizations including Innovation Conference (TQE) ● Collaboration with world-class – Semiconductor Programs
– Operations ● ESG AWARD R&D Institutes – FinFET Academic Design Foster
– R&D ● Technology Board Conference ● Industry-Academia Collaboration Package (ADFP)
– Quality and Reliability ● Corporate Research Seminar – University Research Center ● Career Guidance
– Corporate Planning – Industry-Academia Joint – TSMC Ph.D. Scholarship
– Finance Development Project – TSMC High School
– University Shuttle Program Semiconductor Mini Courses
– National Academy for Key Fields – TSMC Girls in STEM Program for
of Research High School

38
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Maintain Technology Leadership


In 2022, TSMC continued to increase R&D technologies and design solutions to help them In addition to CMOS logic technology, TSMC is In 2022, TSMC continued to work closely with
investments, with annual R&D expenses accounted achieve product success. In 2022, N3E, the enhanced also developing a wide range of semiconductor world-class research institutes and world-leading
for 7.2% of total revenue, a 22.5% growth from last 3nm process technology, entered risk production. In technologies to meet customer demands on SoCs universities such as the Semiconductor Research
year. The Company has expanded R&D organization the same year, TSMC also pioneered 2nm technology for mobile and other applications. The existing scope Corporation (U.S.), Interuniversity Microelectronics
which now has 8,558 employees, a 9.6% growth from development by successfully entering the stage of of application includes integrated interconnect and Centre (Belgium), etc., to develop semiconductor
last year. TSMC's R&D investments paralleled those baseline setup and yield learning. TSMC will continue packaging solution, microcontrollers, virtual reality, materials and design transistor structures. We aim to
of world-leading tech companies. to conduct exploratory research into technology edge-computing, etc. uphold Moore's Law and cultivate talent to usher in a
innovation beyond 2nm. new angstrom era for the semiconductor industry.
To address the challenge with Moore's Law, TSMC's
R&D organization provides customers with advanced

Continuous Investment in R&D R&D and Revenue Growth Ratio over the Years

377%
17,120 20,110 25,170 26,610 29,430 32,105 34,200 34,630 45.510 56,820 75,881
1,366 1,621 1,875 2,067 2,211 2,651 2,850 2,959 3,720 4,465 5,472

338%
283%

229% 275%

8.5 183% 226%


8.1 8.3 8.3 8.2 176%
8.0 7.4 7.8 7.9
7.5 7.2 164%
146%
125% 132% 170% 172%
160%
128% 136%
100% 116%
100%

2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022
Revenue (US$1 million) R&D Expenses (US$1 million) Percentage of R&D Expenses in Consolidated Revenue (%) Revenue Growth Rate R&D Expenses Growth Rate

39
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Technology Leadership and Innovation

Pioneered the industry's first 5nm process technology in Pioneered the industry's first 3nm technology in risk Pioneered the industry's first 3nm process technology in high
volume production production volume production
N3E, the enhanced 3nm technology, successfully entered risk
CMOS Logic production
Technologies

2020 2021 2022

Accomplished process validation of TSMC-SoIC® for both chip- Qualified the fifth-generation chip on wafer on substrate Received CoWoS®-S certification for Gen-3 HBM, silicon
on-wafer (CoW) and wafer-on-wafer (WoW) stacking using (CoWoS®) with record-breaking Si interposer area up to 2,500 interposer now contains sub-micron routing layers and
micron-level bonding-pitch processes with promising electrical mm2, which can accommodate at least two SoC logic and eight integrated capacitors (iCap) so that various chiplets such as
yield and reliability results high bandwidth memory (HBM) chiplet stacks SoC, HBM can be placed on it
Entered high-volume manufacturing of InFO-PoP Gen-5 Successfully qualified InFO-PoP Gen-7 for mobile applications Successfully qualified InFO-PoP Gen-8 for mobile applications
Specialty packaging for mobile application processors and successfully with enhanced thermal performance with enhanced thermal performance; launched R&D for
Technologies/ qualified InFO-PoP Gen-6 for mobile applications with next generation InFO PoP, which will introduce backside
Interconnect enhanced thermal performance redistribution layer
and Packaging Developed InFO-oS Gen-3, which provides more chip partition Initiated high-volume manufacturing of InFO-oS Gen-3, which Successfully qualified InFO-oS Gen-4, which provides more
Technologies integration with larger package size and higher bandwidth provides more chip partition integration with larger package chip partition integration with larger package size and higher
size and higher bandwidth bandwidth
Expanded the 12-inch Bipolar-CMOS-DMOS (BCD) technology Expanded the 12-inch BCD technology portfolio on 90nm, Optimized quality factors of 5V components on 55nm BCD
portfolio on 90nm, 55nm, and 22nm processes, targeting 55nm, 40nm, and 22nm processes, targeting diverse mobile targeting power switches for portable devices; continued to
a variety of fast-growing applications for mobile power power management ICs applications with different integration expand 40nm, 22nm, and 0.13 micron BDC technologies to
management ICs with various levels of integration levels meet automotive market demands
Achieved technical qualification of 28nm eFlash to support Maintained stable high yield and achieved technical 28nm eFlash entered volume production , which can support
automobile electronics and MCU applications qualification of 28nm eFlash for consumer electronics grade mobile HPC and high-performance low-leakage platforms
and automobile electronics grade-1 applications
CMOS image sensors technology entered volume production, Achieved 13% pixel size scaling down on Quad Phase Detection Successfully risk-produced the world's smallest voltage domain
with shrunk sub-micron pixel size and sensors meeting (QPD) CMOS image sensors structure for the mobile imaging global shutter CMOS image sensor chip with 3-wafer stack
automotive grade reliability compliance market technology for near infrared and security cameras market
Began production of 28nm RRAM technology as a low-cost 28nm and 40nm RRAM entered volume production as a low- Prepared 22nm RRAM for volume production as a low-cost
solution to support the price-sensitive IoT market cost solution for the price-sensitive IoT market embedded non-volatile memories solution for price-sensitive
IoT markets
Achieved technical qualification of 22nm magnetic random
access memory (MRAM) technology to successfully volume
produced MRAM and received the Flash Memory Summit
2020's Best of Show award for the most innovative AI
application

40
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Foundry Technology Leadership and Innovation in 2022

Process Technologies Product Applications Innovation / Breakthrough Customer Success

Customer products in high volume production with the Led the industry by introducing the most advanced
3nm Fin Field-Effect Transistor (FinFET) (N3) technology
industry-leading yield products

Led the industry to start volume production for Introduced products with the industry-leading
4nm Fin Field-Effect Transistor (FinFET) (N4) technology
customer products performance and energy efficiency

Entered its second year of volume production for Introduced products with the industry-leading
The performance-enhanced 5nm FinFET technology N5P
various customers' products performance and energy efficiency

Widely adopted in mobile, high performance computing, Introduced products with the industry-leading
6nm FinFET (N6) technology
and consumer electronics products performance and energy efficiency

Introduce products with the industry-leading RF


N6 radio frequency (N6 RF) technology Received multiple customer product tape-outs
performance and cost-effectiveness

Introduced the industry-leading high performance


16nm FinFET Compact(N16FFC) MRAM (Magnetoresistive Completed reliability qualification, with one million
microcontroller unit (MCU) for automotive and industrial
Random Access Memory) Technology cycles endurance and reflow capability
applications

Continued to help customers gain market leadership by Led the industry by introducing the most advanced
CMOS Image Sensor (CIS) technology
introducing the world's smallest pixel size products products

Led the industry to start volume production for


TSMC-SoIC® (System on Integrated Chip) Chip-on-Wafer Introduced products with the industry-leading
customer products, with significant power and
(CoW) technology performance and energy efficiency
performance improvements

Successfully integrated 5nm SoCs with ultra-high density


Integrated Fan-Out with local silicon interconnect (InFO_
die-to-die interconnects and entered volume production Led the industry by introducing advanced products
LSI) technology
in 2022

5G mobile communications Consumer electronics WiFi Smartphones Automotive zonal control used new electrical/electronic
architecture (EEA) for software defined vehicle
High performance computing True wireless stereo (TWS) Digital TV/Set-Top Box (STB) High performance computing applications

41
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

TSMC Continues to Advance Technology to Collaborate with Customer to Push the Envelope in
Unleash Customer Innovation High-Performance Computing
Semiconductors have been playing a vital role in One noteworthy example is our collaboration with the 4th Gen EPYC processors power the most Laboratory (ORNL) in the US, powered by AMD
the development of human economic civilization, AMD on building its leadership high-performance energy efficient x86 servers. They offer leadership optimized 3rd Gen EPYC™ processors and AMD
lifestyle and social evolution with greater computing and adaptive computing products to help performance, energy efficiency and next- Instinct™ MI250X accelerators using TSMC's
power and better energy efficiency through address the fast-growing compute demand from generation architecture, to accelerate data center 7nm process technology, topped the list with 1.1
innovations and breakthroughs. As the trusted increasing adoption of cloud computing services, modernization for high application throughput exaflops for the second consecutive time. Frontier
dedicated semiconductor foundry service provider, digital transformation, transition to exascale and more actionable insights. The 4th Gen EPYC is the world's first supercomputer that breaks
TSMC continues to deliver the industry-leading, supercomputing, and the growing deployment of processors can also help companies advance the exascale barrier, and it is more than twice as
next-generation semiconductor technologies, with AI and high-speed 5G networks. sustainability goals by deploying efficient, powerful powerful as the next system on the list and more
higher chip density and lower power consumption. servers to meet workload demands and help powerful than the next four systems combined.
The Company also offers comprehensive specialty In 2022, combining AMD design innovation and reduce power, energy costs, and server footprint.
technologies and leading 3D chip stacking and TSMC's industry-leading 5nm technology process,
packaging services to help customers unleash different AMD brought its 4th Gen EPYC™ processors to In addition, in the November 2022 Top500 list,
types of innovation and deliver more advanced, more market. With up to 96 cores in a single processor, Frontier supercomputer at Oak Ridge National
capable, more energy-efficient, and more affordable
electronic products.

Comparison of Chip Die Size on Different Technologies Comparison of Chip Total Power Consumption on Different Technologies

1 0.48 0.25 0.11 0.063 0.047 0.035 0.026 1 0.6 0.3 0.07 0.056 0.034 0.022 0.015

Die size shrinks as line width shrinks More power saved as line width shrinks

55nm 40nm 28nm 16FFC/12FFC 10nm 7nm 5nm 3nm N55LP N40LP N28HPM 16FFC/12FFC 10nm 7nm 5nm 3nm
(1.2V) (1.1V) (0.9V) (0.8V) (0.75V) (0.75V) (0.75V) (0.75V)
Note: The logic chip/SRAM/IO (input/output) ratio, which affects die size and power consumption, was re-aligned Note: The logic chip/SRAM/IO (input/output) ratio, which affects die size and power consumption, was re-aligned

42
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

On the energy efficiency front, the Frontier Test & 2022 list, AMD powers 75 percent of the top 20 most Innovation in HPC category in the annual HPCwire as climate change, health care, and transportation,
Development System at ORNL, also powered by AMD energy efficient super computers. Readers' and Editors' Choice Awards. have the most cutting-edge tools for their research.
optimized 3rd Gen EPYC™ processors and Instinct™
MI250X accelerators using TSMC's 7nm process In addition, using TSMC's process technology With the close collaboration with AMD, TSMC is In total, TSMC deployed 288 distinct process
technology, achieved an efficiency score of 62.68 leadership, AMD received a 2022 SEAL Sustainable glad to take part in contributing to the innovation technologies, and manufactured 12,698 products
GFlops/Watts, which was at the top of the June 2022 Product Award for innovative and impactful products and advancement in supercomputing to ensure for 532 customers in 2022, making continuous
Green500 list and at the No. 2 spot of the November "purpose-built" for a sustainable future in data scientists and researchers working on solving the contribution to the advancement of modern society.
2022 list. According to the Green500 November centers and was honored in the Best Sustainability world's toughest and most complex challenges, such

Customer Product Innovation and


Impact on Society TSMC's Role
Product Breakthrough

● AMD 4th Gen EPYC™ ● With up to 96 cores in a single ● Enable datacenters to deploy more ● Provide industry-leading
(codename Genoa) processor processor, the 4th Gen EPYC™ efficient and powerful servers to meet 5nm process technology with
processors power the most energy workload demands best performance and power
efficient x86 servers ● Help lower power, energy costs, and advantages
server footprint to advance corporate ● Establish a dedicated team to
sustainability goals enable deep technology and
design co-optimization (DTCO)
to speed up product design
and volume production
AMD collaborates with TSMC to deliver
breakthrough 4th Gen EPYC™ (Genoa) 5nm
processor to power the most energy efficient
x86 servers
(Photo courtesy of AMD)

43
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Case Study

TSMC Enables More Than 1,500 Startup Customers Worldwide to Plant Seeds of Innovation
TSMC is the world's first dedicated their success. Driven by the passion to enable actions and their purchases in real-time with
semiconductor foundry, and this innovative startup customers' success, TSMC hosted a better energy efficiency. The cashier-less
business model has changed the landscape of dedicated Innovation Zone for the first time at retail solution not only brings a convenient
the global semiconductor industry and enabled the 2021 TSMC Online Technology Symposium. shopping experience to consumers but also
the global fabless IC companies to flourish. In the Innovation Zone of the 2022 in-person/ creates meaningful work for retail cashiers,
Today's heavyweight technology companies, online Technology Symposium, a total of 37 transforming them into sales consultants who
including Broadcom, Marvell, and NVIDIA, have startup customers showcased a wide range of provide value-added advice or retail system
been collaborating with TSMC since their early disruptive innovations that aim to make people's administrators. This inspiring story exemplifies
days to continue to unleash innovation around lives better and more sustainable, including how technology drives amazing changes.
the worldwide. cashier-less retail, high-performance computing
interconnect, cloud and edge AI, power
TSMC hosted the first in-person Innovation Zone at the 2022
In 2000, TSMC formed a dedicated Emerging electronics, augmented reality, satellite-mobile 2022 Innovation Zone Customer Demo Technology Symposium
Accounts team to support startup companies communication, battery-free devices, in-vehicle By Application
to accelerate their product innovation. To networks, software-defined touch sensing,
Smartphone
date, TSMC has worked with more than 1,500 5G private networks, and more. Through the IoT
startup companies to advance applications interactive demonstration of products, startup Others
3%
in communication, computing, healthcare, customers vividly showcased the splendid future
transportation, clean energy and many other of next-generation semiconductor innovations.
11%
new applications. Currently, over 85% of startup
Automotive 30%
companies in the semiconductor industry are Turning the spotlight to Kinara, which was 16%
engaging with TSMC to develop their prototype awarded the first Innovation Zone Demo of the
products. Year Award elected by Symposium attendees,
19% 21%
showcased a cashier-less retail solution enabled
TSMC strives to be a long-term important DCE HPC
by its edge AI platform. The platform uses a
partner that customers can trust and rely on for camera and AI system to identify consumers' U.S. company Kinara received the first Innovation Zone Award for
its cashier-less retail solution

44
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Protect Intellectual Property


IP-based development strategies are increasingly such as capacity planning, manufacturing process 500 invention patent applications, thus concluding technology as an example, TSMC introduced 16nm
important under trends of globalized economic management, and information related to intelligent the Groundwork Era. In 1999, the Company entered FinFET process technologies in 2013 and became the
and trade competition. To fulfill TSMC's vision of operations in the form of trade secrets, while also the Growth Era and filed 1,000 patent applications first to fabricate both 7nm and 5nm FinFET products.
sustainable operations, the Company seeks to actively applying for patents for manufacturing in 2011. Starting in 2012, the IP team began setting In the early stages of FinFET development, TSMC had
strengthen its three competitive advantages― technology with strategic value to secure the patent application goals based on annual R&D already filed patent applications. Over the course of
Technology Leadership, Manufacturing Excellence, Company's competitive advantage obtained through investments, bringing TSMC into the Exponential 20 years, TSMC has accumulated over 14,000 FinFET
and Customer Trust―by protecting technological manufacturing excellence and quality assurance. Growth Era. As of 2022, TSMC has filed over patents as it systematically built up patent protection
innovations and proprietary information through In maintaining customer trust, TSMC protects 85,000 global patent applications and consistently coverage to ensure technology leadership.
two approaches: patents and trade secrets. For confidential information concerning the Company reached record highs again and again. Using FinFET
technology leadership, TSMC's IP and R&D teams and its customers while maintaining strategic
work closely throughout each step from the leadership in the global patent ranking. These Exploratory R&D Patent Applications
beginning of technology planning to risk production efforts translate into business success, ensuring the
and volume production. Such teamwork enables freedom of TSMC's operations around the world and
Patent
TSMC to build an IP portfolio for each generation's strengthening partnerships with customers.
Applications
critical innovative technology ahead of schedule,
including the latest 3nm and 2nm process TSMC Patent History 0.13µm 85,000+
technologies, to ensure the Company's technology The history of TSMC's robust patent portfolio can 90nm
leadership in the semiconductor industry. In 65nm
be divided into three eras. In 1987, the Company
manufacturing excellence, TSMC uses rigorous 40 / 45nm
applied for its first patent and has since increased
protection measures to secure critical information 28nm
patent applications every year. In 1998, TSMC filed
20nm Patent
Patent Achievements with Quality and Quantity in 2022 Grants
56,000+
16nm
Patent Applications Patents Granted Patent Quality

>8,500 >5,500 100%


global patent applications Worldwide patents granted and the only U.S. patent allowance rate and
Taiwanese company in the U.S. top 100 ranked first among top 10 10nm
st st patentees
1 1
Place among Taiwan Patent Applicants Place among Taiwan Patentees for 7nm
for seven consecutive years three consecutive years
5nm
2 3
nd rd
3nm
Place among U.S. Patent Applicants Place among U.S. Patentees, setting a
and remained the only Taiwanese new company record
company in the top 100

2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022
Patent Grants Patent Applications Technologies
45
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Comprehensive Patent Management received 1,663 invention submissions; 16 on-site System (TIPS) certification (AAA), and ranked in the
Mechanism education and training sessions to help employees Clarivate Global Top 100 Innovators and LexisNexis
Institute IP management-oriented ideate valuable patents; and the Online Patent Global Top 100 Innovation Momentum for two
operations TSMC's patent performance stems from its
Quiz, which saw the participation of around 2,000 consecutive years by index of patent portfolio
robust patent management institutions and
employees. size and citation strength. In addition, TSMC
patent management systems. The Company
Strengthen IP portfolio continues promoting technology and IP upgrades
maps out short-, mid-, and long-term patent
Widespread Recognition of Patent in the industry by communicating with various
blueprints using innovative patent strategies
Protect TSMC's IPs and respect
Success and Industry IP Upgrades IP authorities and legal courts, collaborating
and diverse risk control; monitors and evaluates
other's IPs TSMC's patent performance has been recognized with academic institutes to develop technology
competitive information by patent map navigation;
by many international IP institutes. The Company and IP indicators, and spearheading IP strategy
hosts advanced invention workshops to uncover
Enhance IPs and technologies in the ranked first in the semiconductor manufacturing alliances for the industry's supply chain. TSMC also
innovations in core technologies; expands the
industry category for IEEE's Patent Power Scorecard, helps formulate and amend IP laws and provide
patent family by targeting key technological
became the first and only company to receive the suggestions on industry policies to help create a
indicators; and manages patent prosecutions by
highest Taiwan Intellectual Property Management robust patent protection landscape.
invention tiers. Through managing the quality
of patent applications and expanding patent
protection coverage, TSMC has been able to
consistently construct a global strategic patent Strategies Implementations
IP IP
portfolio with equal attention to quality and
Management Management
quantity. In 2022, TSMC was the only Taiwanese
Policies Targets
company ranked in the top 100 for U.S. patent
applications and grants. Deployment Strategies Evaluation System
Patent
The patent team has also designed a range of
Management
Ensure freedom of operations
incentive systems including awards for patent Application Strategies Guideline Reward System
around the world
invention submission and a big annual IP award
Protect R&D investment and ceremony. The Prolific Inventor Award recognizes
achievements employees that have received over 100 U.S. Maintenance Strategies Education and Promotion
patents during their employment at TSMC. As of
Strengthen market competitiveness
2022, there have been 141 Prolific Inventor Award
recipients, one of whom holds over 1,000 U.S. Utilization Strategies Talent Cultivation
patents. A total of 360 patents have been issued
Establish IP reputation in the from 384 employee inventors that received the
industry Infringement Industry-academia
New Inventor Award in 2022. In 2022, the patent Countermeasures Exchange
team also launched a series of patent campaigns,
including the Annual Patent Competition, which

46
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Trade Secret Protection


Driven by its four visions―IP Strategy, Competitive to strengthen TSMC's evolving competitiveness, An Intelligent Automation (IA) and Artificial Intelligence (AI) Powered Intelligent Trade
Advantage, Innovative Culture, and Sustainable maximizing the impact of registered trade secret Secret Management System
Operation, ―TSMC has implemented three measures contents on sustainable technology innovation. In
to drive comprehensive trade secret management: 2022, more than 40,000 trade secrets were registered Integrated with Human Resources System Real Time Data Visualization Analysis
Trade Secret Registration (TSR) System, Golden at TSMC, far exceeding the annual target, bolstering TSMC's trade secret registration system is integrated The use of advanced information analysis
with the Company's human resources system and software in TSMC's system to visualize trade secret
Trade Secret Award, and Green Trade Secrets. With company operations and IP innovation.
automatically updates employees' registration registration data provides employees with real-
registration and incentive systems, TSMC aims to information in their personnel file. This serves to highlight time updates and precise data on the quantity of
execute IP strategies and strengthen competitive In addition to improving trade secret protection and employees' contributions to TSMC's technological
registrations, allowing them to understand their
management internally, TSMC is also expanding innovations and is an important indicator for supervisors
advantages by instilling vigor into the Company's registration status and adjust their registration
to consider for performance evaluation and promotion.
innovative culture and fulfill the mission of sustainable externally to extend positive spillovers for the strategies more efficiently and effectively.

corporate governance. In pursuit of excellence, industry. Based on the Supply Chain Trade Secret
Intelligent Reminder Function
TSMC actively uses intelligent automation and AI Management Sharing public service project, TSMC The trade secret system automatically Innovation Talent Pool
technologies to optimize the TSR system. On top of established the Trade Secret Registration System compares employees' trade secret registration Analyze Golden Trade Secret award winners'
Alumni Association in 2022 to share the Company's records and reminds them to complete the backgrounds to generate an "innovation talent
introducing an AI chatbot to raise employee awareness
registration process in a timely manner. pool" for TSMC's talent development planning
of trade secret management, TSMC also developed experience and help the supply chain develop robust
and strategic management.
numerous capabilities for the TSR system, such as an trade secret management capabilities without
innovation talent pool, systematic technology clusters, disclosing any proprietary information. In 2022, Keyword Analysis Connected to Contract
and technical keyword analysis. Not only has TSMC TSMC successfully aided 12 companies in developing Analyze technology keywords from previous
Management System
and implementing their own trade secret registration trade secret registration data to compile and
acquired the capability to identify real-time insights TSMC's trade secret registration system is
uncover technology development trends.
into the development trends of leading technologies, systems and shared TSMC's TSR system with 17 connected to its contract management system,
companies, exceeding the annual target as material reminding employees involved in technology
the Company has also integrated the HR system
development and transfer to register the relevant
and contract management system to consolidate contributions are continuously made to trade secret
trade secrets in a timely fashion.
protection and management. Technology Cluster
employees' innovation prowess and contributions
Systematically categorize and
annotate registered cases with
AI Chatbot Support
TSMC's Vision of Trade Secret Management Innovation technology family relation to identify TSMC's trade secret AI chatbot
technology clusters. works 24/7 to provide instant replies
to support employee trade secret
Sustainable registration and protection queries,
Operations thereby enhancing employee trade
Innovation secret competency.
Culture

Competitive
Advantage
Intellectual
Property Strategy

47
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Inspire Innovation with New Projects to Protect Green IPs and Deepen Co-Prosperity
Reach Record-High Registrations with the Industry and the Environment
TSMC utilizes the TSR system to maintain In terms of green trade secrets, TSMC launched To extend the reach of green influence, TSMC further Historical Trade Secret Registration
comprehensive records of important inventions for the Green Trade Secret Award and Green Trade established the Incentive Guidelines to Encourage
its employees and targets technical innovations of Secret Registration Section to establish a robust Green Trade Secret Registration and Sharing as a
>8,800 >10,000 >12,000 >20,000 >40,000
interest with tailored projects. For example, TSMC foundation for environmental protection trade Public Service to encourage employees to publicly
launched the 100% TSR Coverage in Advance Process secrets and strategies and to recognize seven major share their experience on energy conservation,
Volume Production Fabs Project to encourage contributions, encouraging employees to develop carbon emissions reduction, energy management,
engineers with more than one year of experience more trade secrets advancing environmental environmental conservation, and more. Employees
in 3nm, 5nm, and 7nm processes to come up with protection technologies related to Climate and may first register undisclosed, sustainability-related
innovations. The project's goal of achieving a 100% Energy, Water Stewardship, Circular Resources, innovations as green trade secrets before seeking
registration rate was reached in 2021. In 2022, TSMC and Air Pollution Control. In 2022, 579 innovations approval from the system for public disclosure, which
launched the Manufacturing Excellence TSR Project were recorded, and the registrants were employees also qualifies the innovations to become candidates
to focus on developing technical trade secrets for from not only facility organizations responsible for recognition as distinguished charitable cases by
two competitive advantages: Technology Leadership for energy and water conservation, but also from the Company. Without compromising proprietary
and Manufacturing Excellence. As of 2022, over R&D, manufacturing, testing, quality control, etc., information protection policies (PIP), TSMC hopes to
31,528 employees have participated in registering a indicating that a green innovative culture is now proliferate a green manufacturing culture and actual
cumulative total of 241,740 technical or commercial deeply rooted in TSMC's daily operations. practices and work with the industry to promote 2018 2019 2020 2021 2022
trade secrets. In addition, Golden Trade Secret environmental sustainability.
Awards are presented every year to trade secrets
Trade Secret Milestones
with strong impacts on competitive advantages
to recognize employee contributions and drive Established the Trade Introduced intelligent Developed four visions for trade secret management: IP Strategy, Competitive Advantage,
innovation. As of 2022, 2,279 Golden Trade Secret Secret Registration automation and AI to Innovative Culture, and Sustainable Operation
Awards have been given to 5,946 recipients. System and Golden Trade manage trade secrets Established the Green Trade Secret Award and Green Trade Secret Registration Section to
Secret Award recognize seven major contributions
Launched the 100% TSR Coverage in Advance Process Volume Production Fabs Project
Launched the Supply Chain Trade Secret Management Sharing public service project
Registered 100,000 trade secrets
The TSR system grants exposure to
and records engineers' innovative
2013 2016 2019 2010 2021 2022
ideas while maintaining the Company's
competitiveness. Registered 10,000 trade Introduced the Special Expanded and established the Trade Secret Registration System Alumni
Tsai Yu-hsuan secrets Contribution Award to Association
TSMC Employee the Golden Trade Secret Formulated the Incentive Guidelines to Encourage Green Trade Secret
Awards Registration and Sharing as a Public Service
Launched the Manufacturing Excellence TSR Project
Registered over 240,000 trade secret with 30,000 registrants

48
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Intelligent Precision Manufacturing systems, set the same specification control, and
optimize global wafer production quality by
Case Study Volume production of advanced processes
benchmarking against equipment with the best
and precision manufacturing are at the core of
wafer process performance. For Maximum Tool
intelligent manufacturing at TSMC and the drivers
Productivity, TSMC utilizes an intelligent operation
Establishment of the Trade Secret Registration of manufacturing excellence. To keep up with
the complex manufacturing model of globalized
platform to process abnormal activities from millions

System Alumni Association to Extend production and ensure consistent manufacturing


efficiency and product quality across fabs, TSMC
of process control charts, allowing engineers to
detect abnormal activities at the same time. They can

Sustainability Influence across Industries established and deployed the Global Manufacturing
then use TSMC's intelligent diagnostics platform to
quickly find the root cause and promptly repair tools.
and Management Platform at all its domestic and
For Optimizing People Efficiency, TSMC rolled out
TSMC's Strategic Supply Chain Partners Trade Secret Management Sharing public service oversea fabs. The Company also continued to
relevant measures in 2022 to all TSMC fabs around
project strengthens trade secret management (TSM) in the supply chain and provides easy-to- promote digital transformation and digitized fabs
the world, continuing to digitalize knowledge from
understand trade secret registration (TSR) principles and practices to suppliers. In June 2022, through five major strategies: Agile Manufacturing,
internal experts and utilizing intelligent workflows to
TSMC founded the Trade Secret Registration System Alumni Association to share the Company's Precise Process Control, Maximum Tool Productivity,
establish 20 systems, allowing employees to focus
TSR execution experience, standard registration templates, and reference evaluation metrics Optimize People Efficiency, and Consistent
on high-added-value tasks and effectively increasing
to help suppliers quickly pick up Management.
productivity by 30 to 50%.
TSR. Members of the association
also discussed challenges in TSR For Agile Manufacturing, TSMC utilizes a modularized
TSMC's goal is to enable the simultaneous
execution and exchanged respective Intelligent Scheduling and Dispatching system to
deployment of manufacturing improvement activities
solutions, which further inspired calculate optimal dispatch orders in combination
and productivity enhancement projects to all TSMC
new TSR and TSM services. In with the Intelligent Automated Material Handling
fabs around the world with the Global Manufacturing
2022, TSMC successfully assisted System to ensure wafers are delivered to the right
and Management Platform and achieve consistent
Solar Applied Materials Tech, machine on time. Meanwhile, the Automatic and
manufacturing practices and parameters across all
eChem Solutions Corp., and ten Intelligent Manufacturing System, developed in-
fabs. This can accelerate the delivery of products
other companies in establishing house, supports production and ensures consistent
with optimal yield and performance to customers.
and implementing their own TSR productivity and cycle times across fabs.
Furthermore, TSMC seeks to support cross-fab
systems. In 2023, TSMC plans to do manufacturing or remote expert instructions with
For Precise Process Control, TSMC adopts its self-
the same for five more companies. remote operations coupled with augmented reality
developed AI technologies to ensure process control
TSMC envisions itself as the and mixed reality to achieve an agile and efficient
consistently across fabs to reduce process variance,
advocate for TSR and intelligent ONE Fab model for collaboration and operations.
and also compiles big data on production from
management, consistently instilling Dr. Fortune F.Y. Shieh, Associate General Counsel of TSMC, shares This empowers TSMC as a trusted, reliable and
TSMC wafer fabs around the world on a universal
the drive to innovate and elevating his experience with the TSR system and intelligent management
consistent capacity provider in the global logic IC
at the Technology Industry Integrity Governance and Trade Secret quality control platform, using AI technology to
the industry's competitiveness. Protection Summit Forum industry for years to come.
simultaneously compare over thirty quality defense

49
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Development History of Intelligent Precision Manufacturing

Launch the world's first fully automated 12-inch GIGAFAB® Build an exclusive internal machine learning platform Intelligent manufacturing, workplace modernization, digital supply chain
to accelerate the technology development process and management, high-performance cloud computing and services, and team
Nearly 100% automated production
expand the scope of application collaboration on innovation
Cross-field talent cultivation
Work process automation, artificial intelligent judgment,
and AI robotic assistance

Integrate highly repetitive Integrate the automated The Global Manufacturing and Management
and low-efficiency tasks manufacturing system with machine Platform supports cross-fab manufacturing and
learning to effectively increase remote expert instructions with remote operations
Workforce productivity at
productivity, flexibility, and quality to achieve a ONE Fab model for collaboration and
mature-node fabs improved
while maximizing cost-effectiveness operations
by 10-15%
and accelerating innovation

2000 2012 2015 2017 2020 2021 2022

Automation Establish big data platform Initiate the plan of Adopt machine learning Digital Intelligent Global Manufacturing and
intelligent fab for manufacturing systems transformation platform Management Platform

Intelligent
Manufacturing Phase 1 Intelligent Manufacturing Phase 2 Intelligent Manufacturing Phase 3

Agile
Equipment Manufacturing
Automation
Precise Process
Integrated Control Intelligent
Data Platform
Manufacturing
Material Handling Maximum Tool
Automation Productivity
Wafer Big Machine Expert Artificial AR/MR Digital Fab Global
Data Learning Knowledge Intelligence Remote Intelligent Manufacturing Optimizing Cross-fab Remote
Platform Database Collaboration Platform and People Efficiency Collaboration
Wafer Dispatch High- and Support Management
Automation performance Platform Consistent Technology Transfer
Computing
Manufacturing among Fabs

50
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Open Innovation Platform®


The acceleration in digital transformation has made In addition to the existing EDA, IP, DCA and VCA, and substrate technology, testing, manufacturing, and the collaborative ecosystem solutions through DTCO
semiconductors more pervasive and essential in Cloud Alliances, TSMC announced the new 3DFabric packaging, aiming to help customers achieve speedy to support the optimization of power, performance
people's lives. TSMC's Open Innovation Platform® Alliance in 2022 as the sixth OIP alliance with 19 implementation of silicon and system-level innovations and area (PPA) in customer products. TSMC has
(OIP) focuses on innovation and collaboration, inaugural members that have 3D IC expertise covering and enable next-generation HPC and mobile worked closely with these ecosystem partners ever
solidifying in Electronic Design Automation (EDA) aspects from EDA, IP, and DCA/VCA to Memory, applications using TSMC's 3DFabricTM technologies, since the Company was established and continues to
and Intellectual Property (IP) development to achieve Outsourced Semiconductor Assembly and Test (OSAT), a comprehensive family of 3D silicon stacking and expand its libraries and silicon IP portfolio to more
Design and Technology Co-Optimization (DTCO), Substrate and Testing. The new 3DFabric Alliance advanced packaging technologies. than 55,000 IP titles and provides more than 43,000
enable IC design in the cloud, and offer design contains a full spectrum of best-in-class solutions and technology files and over 2,900 process design kits,
services for backend packaging and testing partners. services for semiconductor design, memory modules, TSMC hosted the 10th OIP Ecosystem Forum in from 0.5-micron to 3-nanometer, to customers.
2022, Where TSMC and its OIP partners have shared The latest set of comprehensive ecosystem design
solutions support customer designs using TSMC's
industry-leading process technology for high-
Design-Technology Co-Optimization (DTCO) | Minimize Design Cycle Time | Facilitate Tape-out Success | performance computing, mobile, automotive,
Accelerate Time-to-market | Shorten Time-to-volume | Time-to-revenue and IoT applications. These combined efforts
have helped customers accelerate innovation for
EDA Alliance IP Alliance their differentiated products to drive continuous
Provide the certification of Electronic Design Offer silicon-proven IP portfolios that fulfill TSMC's technology development around the world.
Automation (EDA) tools that are required for IC design quality requirements and meet a wide variety of
stages, as well as the readiness of design reference design needs in the semiconductor industry
flows to timely address the latest requirements by
customer designs and process technologies

Cloud Alliance Design Center Alliance


Deliver OIP Virtual Design Environment (VDE) and Offer design services ranging from system- Through the collective leadership of TSMC
Cloud solutions required at various design stages for TSMC's Six OIP level front-end design to back-end physical and our ecosystem partners, our 3DFabric
customer's adoption that help expedite product design Alliances implementation/verification that assist customer's Alliance offers customers an easy and
cycle time by fully utilizing the high-performance designs in TSMC technologies flexible way to unlock the power of 3D IC
computing resources available in the Cloud
in their designs, and we can't wait to see
the innovations they can create with our
Value Chain Alliance 3DFabric Alliance
Comprise a full spectrum of 3D IC design solutions 3DFabricTM technologies.
Integrate design enablement building blocks and
provides specific services that bring customer's and services, memory modules, substrate
innovation to IC value chain, including IP development, technology, testing, manufacturing, and packaging, Dr. L.C. Lu
front-end and back-end designs, and wafer to help customers implement silicon and system- TSMC fellow and vice president of the design
manufacturing, assembly, and testing level innovations with TSMC 3DFabric stacking and and technology platform
advanced packaging technologies

51
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Comprehensive Ecosystem Design Solutions


During the 2022 OIP Ecosystem Forum, a complete set of To recognize the outstanding support and collaborative
design solutions was unveiled jointly with OIP alliance partners, achievements of TSMC's OIP ecosystem partners, the Company
to address the market demands for specific platforms for announced the 2022 OIP Partner of the Year awards for their
mobile, high-performance computing (HPC), automotive and IoT excellence in accelerating semiconductor innovation.
applications.

Advanced Technology
● TSMC FINFLEX™ innovation combines process and design co-optimization for 3nm technology
● Continues full node scaling in N3E that achieves best-in-class PPA results
● Major EDA tools have undergone optimization and certification, and relevant foundation IPs can be provided to
customers to start design

Analog Cell
● Boosts analog IP yield
● Enables the automation of EDA tools and overall design flow
● Design solutions are ready in N5, N4 and N3, and will extend to N2 and N6; corresponding analog design
migration EDA flows are also ready for customer adoption

Automotive Technology Platform


● Automotive Service Package is available in 0.5um to 12nm
● For technologies of 16nm, 7nm and 5nm, the respective enhanced Automotive Design Enablement Platform
(ADEP) is ready for customer adoption
● The platform includes aging and thermal-aware design flows, and is AEC-Q100 and IP9000A compliant

3Dblox™ Standard for 3DFabric™ Technologies


● The comprehensive 3DFabricTM technology offerings enable the required performance, power, form factor and
flexibility for system-level designs
● TSMC 3Dblox™ standard reduces design complexity by modularizing 3DFabricTM structures and standardizing
EDA tools for interoperability
● TSMC 3Dblox™ is ready for 3DFabricTM integration in CoWoS®, InFO and TSMC-SoIC® technologies with validated
EDA tools

TSMC joins hands with alliance partners to accelerate semiconductor innovation through OIP

52
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Enhance Industry-Academia
Collaboration
TSMC maintains long-term partnerships with TSMC also launched Semiconductor Programs at Design Foster Package (ADFP) for its own 16nm addition, a mini course Semiconductor Devices
universities in Taiwan and abroad in three universities in Taiwan, with over 4,000 students FinFET technology to help universities overcome Physics was launched for high schools with a
areas―Industry-Academia Collaboration, having enrolled and learned through the programs education and research bottlenecks in cutting- simplified but comprehensive course design and
Course Collaboration, and Career Guidance―to since 2019. To close job-education discrepancy, edge chip design, ushering in the FinFET era and hands-on practice sessions to inspire passion and
encourage professors to conduct cutting-edge TSMC reached out to partners in the industry, strengthening R&D capabilities. interest in semiconductors, thus cultivating tech
semiconductor research and develop advanced company executives, and professors to co-design talent for the future. In 2022, TSMC also continued
semiconductor components, process and material and lecture programs. In 2022, TSMC launched For career guidance, TSMC organized a wide range to organize the Girls in STEM program for high
technologies, engineering sciences, and special two courses, Semiconductor Technology - Process of events to give students further insight into the schools, sharing experience of working in tech with
process technologies relating to the electronics & Equipment and Semiconductor Intelligent semiconductor industry and lay the foundations high school girls and reaching over 2,900 students.
industry. TSMC aims to develop long-term and Manufacturing Systems, which benefitted over 810 for future talent. In 2022, a total of 1,700 students
interactive systems for collaboration programs students. In 2022, TSMC launched the Academic attended TSMC's career guidance events. In
with universities, jointly pushing for advanced
research and development and cultivating talent TSMC University Collaboration Programs
for the semiconductor industry.

660 million >6,800


In 2022, TSMC invested NT$660 million in industry-
academia research collaboration and worked with
26 universities in Taiwan and abroad to continue Total investment amount (NT$) Beneficiaries
numerous research projects and industry- Industry-
Course Career
academia projects. With the TSMC University
Shuttle Program, the Company is also helping
Academia
Collaboration
Collaboration Guidance
26
university professors and students to validate Domestic and overseas
designs, applications, and terminal performances. universities involved
In 2022, TSMC took on a greater role in supporting
● University Research Center ● Semiconductor Programs ● TSMC Ph.D. Scholarship
six universities in Taiwan to establish their own
● Industry-Academia Joint ● FinFET Academic Design ● TSMC High School Mini
National Academy for Key Fields of Research and
Development Project Foster Package (ADFP) Courses
continued to provide funding to support cutting-
● University Shuttle Program ● TSMC Girls in STEM
edge research and talent cultivation for the
● National Academy for Key Fields Program for High Schools
semiconductor industry.
of Research

53
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Industry-Academia Collaboration
● University Research Center ● University Shuttle Program communication, high-speed wireline communication, Personnel Training, TSMC is committed to helping
memory applications, safety, biotechnology, image National Yang Ming Chiao Tung University, National
TSMC worked with National Yang Ming Chiao Tung Semiconductor innovations continue to drive
sensor applications, and robotics applications. And Taiwan University, National Cheng Kung University,
University, National Taiwan University, National Cheng progress in the world; it is therefore pertinent and
22 of those papers were published in esteemed and National Tsing Hua University to establish
Kung University, and National Tsing Hua University to pressing to cultivate talent for the future. In 2022,
journals or at renowned conferences such as graduate institutes. In 2022, TSMC extended its
establish graduate institutes and provided research TSMC worked with professors and students from
the IEEE Journal of Solid-State Circuits and the collaboration with two more universities ―National
funding to encourage university professors and 12 world-leading universities through the University
International Solid-State Circuits Conference (ISSCC), Sun Yat-sen University and National Chung Hsing
students to come up with innovative semiconductor Shuttle Program to realize IC circuit designs and
often touted as the "IC Design Olympics." University―to integrate TSMC's R&D resources
research projects, develop cutting-edge technologies validate terminal performances. As a result, a total
with their R&D capabilities. In the future, TSMC
in semiconductor components, materials, processes, of 92 papers were published on 5G and wireless ● National Academy for Key Fields of will invest at least NT$100 million in funding to
and chip design, and foster semiconductor
Research support cutting-edge semiconductor research,
researchers. TSMC has been establishing university
To support the Ministry of Education's National Key help schools offer merit-based scholarships, and
research centers since 2013; as of 2022, a total of 245
Fikelds Industry-University Cooperation and Skilled cultivate top R&D talent.
professors and over 3,600 top students in the fields of
electronics, physics, chemistry, chemical engineering, Innovation is a never-ending journey in
and mechanical engineering have joined the graduate the field of chip designs. I'd like to thank Key Academic Collaborators and Research Topics in 2022
institutes in the four universities mentioned above. TSMC University Shuttle Program for their
In addition, TSMC provided funding and collaborated support and efforts in research innovation
with eight world-leading universities abroad, launching and talent cultivation.
international research projects through graduate
Wei-Zen Chen
institutes. Professor at the Department of Electronics
and Electrical Engineering, and Institute of National
National University
● Industry-Academia Joint Electronics, National Yang-Ming Chiao Tung National Tsing Yang Ming
University of of California,
Development Project University Hua University Chiao Tung
Singapore Berkeley
University
TSMC encourages university professors to explore
cutting-edge semiconductor technologies through
industry-academia joint development projects TSMC University Shuttle Program
that allow interested students to join and learn provides us stronger foundations and
from hands-on experience. TSMC hopes this will the opportunity to validate and realize
encourage students to consider a career in the our designs. I'd like to thank TSMC for University of
University University of
semiconductor industry. In 2022, 147 industry- providing such invaluable resources. California, Los
College Dublin Michigan
academia joint development projects were launched, Angeles
with 134 professors from nine universities in Taiwan Yuan-Bang Huang
Student at the Institute of Electrical
and 17 universities abroad participated. Since 2013,
Engineering, National Taiwan University
these industry-academia joint development projects
have resulted in 228 patent applications in the U.S.
Note: Universities are listed in alphabetical order
54
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Course Collaboration
● Semiconductor Programs enable students to integrate theory into practice as an incentive for elite students to pursue doctoral ● TSMC Girls in STEM Program for High
To increase the quantity and quality of domestic and learn about the latest semiconductor processes degrees in scientific specialties related to the field Schools
semiconductor talent and attract more outstanding and tools. In 2022, five sessions were offered at the of semiconductors. The program consists of three
To encourage high school girls to pursue science,
students to the semiconductor industry, TSMC offers Newcomer Training Center, holding 209 lectures and pillars: scholarship, mentorship, and internship.
technology, engineering, and mathematics, the
Semiconductor Programs at top universities in benefitting 271 students. An annual subsidy of NT$500,000 is issued for
TSMC Education and Culture Foundation hosted
Taiwan. Six majors are offered, including components/ a maximum of five years to offer Ph.D. students
the TSMC Journeys of Female Scientist Lectures for
integration, processes/modules, equipment
● FinFET Academic Design Foster Package financial support. After attaining the degree,
two consecutive years in 2020 and 2021. In 2021,
engineering, advanced packaging, intelligent
(ADFP) the scholarship recipients can directly join the
TSMC held career lectures titled Lean in and Achieve
manufacturing, and advanced circuit design. In 2022, To strengthen the cultivation of forward-looking semiconductor industry or become an educator to
a Better Version of Yourself aimed at inspiring
National Chung Hsing University joined the program design talent and reduce education-job discrepancy, cultivate more talent. As of 2022, a total of 74 Ph.D.
students' interests in STEM as they learned about
too. In total, over 4,000 students have enrolled. TSMC launched the 16nm FinFET Academic Design students have benefited from the scholarship.
the unique strengths and capabilities of women
Foster Package (ADFP) and leveraged government as well as in-depth information on TSMC and the
In addition to the Semiconductor Programs, TSMC cloud platforms to help universities upgrade very-
● TSMC High School Semiconductor Mini
semiconductor industry. In 2022, TSMC continued to
invites employees to serve as industry lecturers to large-scale integration (VLSI) chips. In addition, TSMC Courses
promote career lectures to 12 schools and reached
co-lecture two courses, Semiconductor Technology - organized lessons and contests related to circuit TSMC co-developed the mini course Physics of out to over 2,900 students, encouraging high school
Process and Equipment and Semiconductor design. As of 2022, ADFP has been successfully Semiconductor Devices and animated learning girls to pursue tech careers and foster more female
Intelligent Manufacturing Systems, at several deployed at 11 universities in Taiwan for professors resources with college professors to introduce the talent for the technology sectors.
universities to give students insight into the latest to use in related courses. It is estimated that over fundamental principles of semiconductors to high
knowledge and practices from the industry and 2,000 people will benefit annually starting from school students, guiding high school students with
mitigate any job-education discrepancy. In 2022, 2023. ADFPs are also planned expand to universities simple and easy-to-understand semiconductor-
a total of 810 students enrolled in these courses. abroad in the future to create a global FinFET design related knowledge and hands-on practice. This
Since April 2022, TSMC has made internal training education platform and cultivate semiconductor course aims to inspire their passion for science and The lecture was practical and informative,
resources available to students enrolled at TSMC talent for the future. further drive learning motivation. In 2022, TSMC and our alumni provided us plenty of
Semiconductor Programs at various universities. offered courses at Taipei Municipal Jianguo High helpful advice. It was the first time that
Students can visit the TSMC Newcomer Training Career Guidance School, Taipei Municipal Zhongshan Girls High I can truly learn about TSMC and the
Center for free courses such as Semiconductor School, and Taichung First Senior High School to a semiconductor industry.
● TSMC Ph.D. Scholarship
Component Fundamentals and Semiconductor Tool total of 88 students. In 2023, TSMC plans to promote
Fostering Ph.D.-level scientific research talent is key Tang-Hsin Liu
Fundamentals. Professional insights from corporate courses to more schools through Train the Trainer
Student at Taipei First Girls High School and
trainers at the Newcomer Training Center and the to the sustained advancement of the semiconductor workshops to help science education take root and Lecture Attendee
opportunity for hands-on practice with real tools industry. TSMC launched a Ph.D. Scholarship in 2020 invest in cultivating future tech talent.

55
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Product Quality and Safety


Strategies 2030 Goals 2023 Targets 2022 Achievements

Enhance Quality Culture


Promote continuous Generate up to NT$20 billion in value from Generate NT$14 billion in value from Generated more than NT$13 billion in value from
improvement programs to improvement projects and involve outstanding improvement projects and involve at least six improvement projects
enhance the internal quality projects in Taiwan Continuous Improvement Award outstanding projects in TCIA Target: NT$13 billion
culture (TCIA)
Involved 10 outstanding projects in TCIA
Target: 6 projects

Encourage local suppliers Encourage 100% of major local raw materials Encourage 100% of major local raw materials 74% of major local raw materials suppliers participated
Note 2
to participate in Taiwan suppliers Note 1 and 75% of backend packaging suppliers and 60% of backend packaging in TCIA
Continuous Improvement materials suppliers to participate in TCIA with 60% materials suppliers to participate in TCIA, with Target: 100%
Award (TCIA) to strengthen of them advancing to the finals 20% of them advancing to the finals
quality culture and 60% of backend packaging materials suppliers
competitiveness within local participated in TCIA
Target: 60%
supply chain
17% of raw materials and backend packaging material
suppliers advanced to the finals of TCIA
Target: 20%

Improve Quality Capability


Develop innovative testing Develop a cumulative total of 3,000 innovative Develop 278 innovative testing methods for Developed 272 innovative testing methods for quality
methods to enhance product, testing methods for quality and reliability Note 3 quality and reliability and reliability
technology and production Target: 265 projects
quality

Note 1: Major local raw materials suppliers are those that meet at least one of the following conditions: 1. accounted for 85% of purchasing expenses; 2. single-source supplier; 3. Exceeded Achieved Missed Target
ongoing orders in each quarter
Note 2: Due to the impact of the COVID-19 pandemic, some suppliers were unable to join the competition
Note 3: Starting from 2021

56
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Strategies 2030 Goals 2023 Targets 2022 Achievements

Enhance Sustainable
Chemicals Management Note 4
Develop hazardous substance Develop the ability to analyze 100% of carcinogenic, Developed the ability to analyze 100% of CMR Developed the ability to analyze 100% of CMR
analysis capabilities in mutagenic, and reprotoxic (CMR) substances and help substances and help 100% of suppliers supplying substances and helped 100% of suppliers supplying
chemical laboratories to ensure suppliers supplying materials with potential risks materials with potential risks to develop the same materials with potential risks to develop the same
occupational health and safety develop the same capabilities Note 5 capabilities capabilities
(OHS) Target: 100%

Strengthen management Replace 100% of N-methylpyrrolidone (NMP) (Base year: Replace 100% of NMP used for etching processes Reduced the use of NMP by 97.2%
for hazardous substances to 2016) in the overseas fabs TSMC (China), TSMC Target: 95%
improve green manufacturing (Nanjing), and WaferTech LLC

No processes involving perfluoroalkyl substances (PFAS) that Replace 36% of photoresists containing PFHxA Photoresist substitutes that do not contain PFHxA
have more than four carbons related substances in VisEra Note 6 related substances developed by VisEra failed to
pass production line testing; VisEra will select new
substitute materials
Target: Complete testing for photoresist substitutes that do not
contain PFHxA related substances

Realize Quality Application


Complete quality and reliability Complete quality and reliability certification for Complete quality and reliability certification Completed quality and reliability certification for 3nm
certification for advanced advanced process technologies, specialty process for advanced process technologies, specialty process technology, 22nm embedded RRAM IP, the first
process technologies, specialty technologies, and wafer-level packaging technologies process technologies, and wafer-level packaging TSMC-SoIC® and Wafer-on-Wafer (WoW) technologies
process technologies, and in the design and development stage based on the technologies per the R&D targets
wafer-level packaging Company's technology roadmap
technologies in the design and
development stage based on
the Company's technology
roadmap

Note 4: To highlight the importance of product safety at TSMC, the Company will introduce a new strategy, Sustainable Chemicals Management, which will cover three existing KPIs Exceeded Achieved Missed Target
relating to chemical management
Note 5: In response to developments in process technologies, all materials with potential risks supplied by new suppliers must pass CMR testing
Note 6: The target for 2023 has been changed to 36% as testing results failed to meet expectations in 2022
57
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

TSMC is committed to providing outstanding development, wafer manufacturing, and customer Forum to encourage employees to commit to quality total of 272 innovative testing methods to facilitate
semiconductor foundry services. The Company service. The Company also roots quality culture innovation and also added a quality culture course to technology development through an energy-
spotlights the quality standards of all operational firmly in daily operations from six aspects: Defining, the new employee orientation to strengthen quality saving approach and the application of AI in yield
aspects and advance quality management system Integrating, Encouraging, Sharing, Coaching, and awareness in daily operations. In 2022, TSMC's monitoring for operational efficiency and quality
from supply chain management, technology Driving. In 2022, TSMC organized the first Quality Quality and Reliability Laboratories introduced a enhancement.

TSMC Quality Management System

Tech
Supply Chain Development & Customer
Management Wafer Service
Manufacturing

Technology Development Wafer Manufacturing


Enhance
Quality
Culture

Supply Chain Design Service Tech Development Mask Making Wafer Backend Service Customer
Management Manufacturing Satisfaction Improve Quality Capability
● Incoming Quality ● IP / Library ● SPICE Model ● Remote Mask DB ● Advanced Process ● Process Quality ● Customer Claim Enhance Sustainable
Control Development Quality Management Check Control Control Note 2 NEW Management Chemicals
● Quality Training Assurance ● Process ● iTapeOut ● Real-time Equipment ● Packaging Reliability ● Annual Management
Courses ● Design Kits Technology ● eJobView Monitoring Monitoring Note 2 NEW Customer
● TCIA Participation Deliverables Development ● Process Reliability ● Yield Screening & Satisfaction Realize Quality
● Mask Defect
Management Management Quality Monitoring Note 3 Survey
Inspection Monitoring Application
● IP Quality Support Note 1 ● Built-in Reliability ● Wafer Acceptance NEW ● Design Training
NEW Test Test ● Outgoing Quality Courses Note 4 NEW
● Process Release ● Outgoing Quality Gating ● Quality Training
Standard Gating ● System Quality Courses
Procedure

Note 1: Provided IP training courses to OIP partners to help create high-quality and certified IP solutions for customers
Note 2: Launched industry-academia cooperative research programs with Harvard University and National Cheng Kung University to exchange knowledge on mechanics and work together to overcome problems with product failure and reliability
Note 3: Developed an intelligent testing framework to drastically increase testing capacities and applied AI to yield monitoring to enhance operational quality and efficiency
Note 4: Launched standardized training courses on new processes to quickly familiarize customers with the design and process flow. The courses help reduce design cycle time and accelerate volume production of new products
58
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Enhance Quality Culture


Quality is the cornerstone of sustainable help new employees build awareness of quality and Quality Audit categories to boost quality innovation initiative in preventing abnormal quality or outdated
development at TSMC. To cultivate a quality culture TSMC's core values, accelerating integration and synergy and the Talent Cultivation category operations, TSMC launched a new theme, STOP
that engages all employees, TSMC hosts company- adaptation to their duties. A total of 11,493 new to motivate internal organizations to enhance & FIX, in 2019 to collect and share improvement
wide conferences, training programs, and quality employees have been trained to commit to the goal talent cultivation and management for employee projects every year. As of 2022, the number of STOP
improvement projects to drive cross-organizational of quality excellence. capability improvement. In pursuit of perfection, & FIX projects has reached 9,439. Additionally, TSMC
learning and collaboration and enhance employees' TSMC launched the Quality Academy platform launched the CIT Outstanding Engineer program in
problem-solving skills. In 2022, TSMC organized TSMC has been hosting the Total Quality which integrates quality cases, tools, publications 2000 to incentivize employees and provided training
two Quality Forums with executives giving keynote Excellence and Innovation Conference (TQE) for 30 and mentoring resources to facilitate employees to courses to build a talent pool of improvement
speeches and panel discussions to drive quality consecutive years to improve the quality system and learn from each other and inspire more innovative experts. Up to now, TSMC has awarded a total of
innovation, attracting nearly 6,000 attendees. methodology. In 2022, TSMC integrated existing ideas. In 2022, TSMC employees submitted 9,316 1,394 CIT Outstanding Engineer Awards.
Moreover, TSMC has added a quality culture course activities related to continuous improvement improvement projects and generated over NT$13
to the new employee orientation since 2022 to into TQE, adding the Design of Experiment and billion in value. To encourage employees to take the

Company-Wide Quality Culture

Document Review by Fab Selection by Fab


Supervisors Supervisors
>500
Average number of outstanding projects
recognized each year
Registration Total Quality Excellence
All TSMC System for Fab/Division CIT and Innovation Quality Academy
Employees Improvement Competition Conference Platform
Projects (TQE)

7,277
Projects recognized as of 2022

● Quality Improvement ● Process and Improvement Efficiency ● Talent Cultivation NEW


● Production Capacity Improvement ● STOP & FIX ● Quality Audit NEW

Cost and Production Support Assistant Engineer & Module Associate Engineer Design of Experiment

12.84 million
● ● ● NEW
● ESH and Green Corporation ● Outstanding Proposals from Direct Labor

Cash prizes (NT$)


Benchmarking & Feedback

59
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

2022 TQE-Winning Cases

Production Capacity Cost and Production ESH and Green


Quality Improvement Improvement Support Corporation
High-quality IoT chips Improve etching Optimize statistical Develop carbon credit
processes process control verification procedure

● Improve IC design for chips ● Optimize etching programs and adjust ● Develop cross-system modularized ● Launch big data computing and AI
● Optimize parameters of lithographic and photoresist thickness management mechanism recognition system to track carbon reduction
etching processes ● Adjust use and pairing of wet etching chemicals performance
● Adjust wafer surface polarity and improve ● Establish oxidation layer thickness monitor
developing speed procedure

10.6% 66% 35% 1% 90%


Yield loss/damage GHG emissions Production cycle time Total productivity Operational efficiency improved Completed carbon credit verification
reduced reduced improved increased for TSMC

Design of Experiment Process and Efficiency


Improvement Talent Cultivation STOP & FIX
Resolve flaws in
Develop prepayment Cultivate assistant Protection against
integrated voltage
mechanism engineers masks aging
controller

● Collaborate across organizations to introduce ● Develop mechanism to reflect demand and ● Develop skill training and evaluation/ ● Develop automatic mask-scanning
thick copper and magnetic material processes supply changes in a timely manner certification system for assistant engineers system to detect all anomalies
● Develop offset algorithm
● Build report platform

97% 15.3% 32.1 million 66% 82% 39% 92% 87%


Inspection time Number of risk
Voltage conversion Aluminum pad Estimated benefit Operating time Job satisfaction Manpower reduced
reduced impacted wafers
efficiency defects resolved generated (NT$) reduced
reduced

60
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Assistant Engineer
Quality Audit and Module
Strengthen outgoing Associate Engineer
quality control Improve equipment

● Develop automatic system to regularly track ● Standardize equipment quality control to


abnormal products and equipment reduce idle time and increase productivity
● Filter non-conforming products automatically
before shipping

10 minutes
96% Disposal time per
20 million
Audit time reduced abnormal wafer Estimated benefit generated (NT$)
reduced

Outstanding Proposals
from Direct Labor
Automatic recognition
process for wafer
cassette information

● Develop automatic recognition equipment to


replace manual operations and judgement
● Integrate software systems to increase
operational accuracy and efficiency

100% >95% 88%


Correctness Inspection Reduced
of information rate manual
interpretation handling

TSMC continues to optimize methods of inspection to ensure product quality 61


Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Percentage of Major Local Raw Materials Suppliers Participating in TCIA


In addition to cross-functional exchanges within COVID-19 prevention measures, but TSMC still
the Company, TSMC is also a long-term participant ensured that 74% of major local raw materials 94 100 79 64 74
of TCIA. By participating in the competition, suppliers and 60% of backend packaging
TSMC exchanges practical knowledge on quality materials suppliers were able to participate in
improvement with peers from other industries and the competition. A total of 17% of TSMC suppliers
100 100 100 100
accelerates the advancement of all local industries. advanced to the finals and won four Gold Awards,
In 2022, TSMC received six Gold Awards, four Silver three Silver Awards, four Bronze Awards, and one 80
Awards, and three Best Innovation Awards at TCIA, Best Innovation Award. TSMC announced the list
setting a new record for TSMC. of winners on its corporate website to encourage
suppliers to continue to improve.
To strengthen the supply chain, TSMC also
encourages suppliers to participate in TCIA. In
2022, some suppliers were restricted by the 2018 2019 2020 2021 2022
Actual Participation Rate (%) Target Participation Rate (%)

TSMC Track Record of Participating in TCIA TSMC Supplier Track Record of Participating in TCIA

4 6 5 5 6 1 3 4 3 4
1 1 1 3 4 4 6 5 2 3
2 2 1 1 3 6 4 5 4 4
0 1 0 0 1

2018 2019 2020 2021 2022 2018 2019 2020 2021 2022
Gold Award Silver Award Best Innovation Award Gold Award Silver Award Bronze Award Best Innovation Award

62
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

2022 TCIA-TSMC Winning Cases

Gold Award and


Gold Award
Best Innovation Award
Increase yield of image
Optimize specialty
sensors chemical processes

>70% yield loss/damage reduced >90% process defects resolved


NT$3 billion estimated benefit generated >50% costs reduced

Gold Award Gold Award


Increase productivity in Improve gas leakage in
the photolithography area the production area

6% productivity increased Reduced gas leakage events from an

85% number of manual operations reduced average of 3 per quarter to 0

Gold Award and Gold Award and


Best Innovation Award Best Innovation Award
Develop smart testing Digital transformation of
framework old equipment

99.6% testing efficiency increased 15.5% equipment productivity increased


30% total testing productivity increased NT$150 million estimated benefit generated

63
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Improve Quality Capability


Quality capability plays a key role in ensuring continues to minimize quality risks in customer to the original method, fulfilling the Company's University to stabilize production line quality by
technology leadership. TSMC's Quality and Reliability products and maintains strict monitoring of raw commitment to sustainable operation. exchanging knowledge on mechanics and working
Laboratories have been dedicated to perfecting, material stability and safety acquired from the together to overcome problems with product failure
researching, and developing testing methods. In upstream or through recycling, aiming to realize the In addition to strengthening quality capabilities and reliability. Meanwhile, TSMC collaborated with the
2022, the laboratories introduced 272 innovative Company's vision of being a green, safe, and healthy and management throughout the supply chain, Semiconductor Equipment and Materials International
testing methods while ensuring that device workplace. As EUV is critical to advanced processes, a TSMC is also dedicated to talent cultivation and (SEMI) to organize the fourth Strategic Materials
characteristics, process yield, and product reliability new aging test method for EUV mask was developed local industry support. In 2022, TSMC launched Conference in Taiwan, sharing the win-win strategy for
all comply with customer demands and standards. to accelerate validation and technology development industry-academia cooperative research programs technology and sustainable development to elevate
From device, packaging to system level, TSMC with 1/5000 of the electricity consumption compared with Harvard University and National Cheng Kung the competitiveness of the local supply chain.

TSMC Quality and Reliability Laboratory Network Sustainable Strategies from the Quality and Reliability Laboratories

- Accelerate the replacement of hazardous substances and help new TSMC facilities
with designs for discharging Substances of Very High Concern (SVHCs)
● Chemistry Lab
- Verify the quality of materials recycled and reused at TSMC to ensure that they meet
requirements for advanced processes and promote green manufacturing NEW
Hsinchu/Zhunan/Longtan
- Develop the ability to analyze 100% of CMR substances and facilitate source
● Advanced Materials management in suppliers
Analytic Center - Evaluate and select technologies and materials for advanced processes
(AMAC) - Provide an analysis and technology exchange platform to strengthen suppliers' analytical
Taichung
capabilities NEW
Washington State - Develop low-power consumption and high-capacity processes to increase the EUV
energy efficiency
● Surface Analysis (SA) - Select eco-friendly materials and replace in processes
Lab
- Develop equipment consumables, reducing costs and extending consumable life by
Ibaraki
three times NEW
● Chemistry Lab Tainan ● Reliability Analysis - Complete reliability certification for the latest advanced processes, special processes, and
Shanghai/Nanjing Kumamoto (RA) Lab wafer-level packaging processes
Arizona State ● Advanced Failure
● Chemistry Lab
Analysis (AFA) Lab
● Surface Analysis (SA) Lab
● Chemistry Lab
● Process Failure
● Scanning Electron Microscope (SEM) Lab
● Surface Analysis (SA) Lab
Analysis (PEFA) Lab - Accelerate advanced process development, yield improvement, and product DPPM
● Transmission Electron Microscopy (TEM) Lab
● Scanning Electron reduction learning curve (reliability point of view)
● Package & Assembly
Microscope (SEM) Lab
Failure Analysis - Apply for domestic and foreign patents through innovation and invention
● Transmission Electron (PAFA) Lab - Donate equipment to universities and elementary schools and provide training on how to
Microscopy (TEM) Lab ● Product Failure operate and maintain equipment to cultivate tech talent
Analysis (PFA) Lab
● Scanning Electron
Microscope (SEM) Lab
● Transmission - Continue to promote industry-academia cooperation programs
Original New Electron Microscopy
(TEM) Lab
- Apply digital transformation and automatic data processing to increase efficiency NEW

64
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Enhance Sustainable Chemicals Management


TSMC cares about the safety of its employees and Such materials can only be used with consent from VP-
supply chain. To continue tracking where materials level executives of related divisions or departments.
with potential risks end up, TSMC's Advanced Materials
Analytical Center (AMAC) has established mechanisms NMPs are commonly used in industrial and
for screening CMR materials and expands its screening semiconductor processes. However, due to their
scope based on the Company's technology roadmap. reproductive toxicity, TSMC launched an industry-first
In 2022, the AMAC completed the screening of new replacement program in 2016. In 2022, TSMC was
16 semiconductor materials, developing the ability able to achieve the goal of reducing NMP usage at
to analyze 100% of CMR substances. Furthermore, all Taiwan facilities by 95%. The Company expects to
TSMC assisted VisEra in strengthening protection replace all NMP use at overseas locations by the end
for high-risk materials by sharing measures such as of 2023. NMP replacement is an innovative practice
substitutes for high-risk chemicals, protection gear, and, therefore, subject to rigorous internal review. After
and regular workplace assessments. Meanwhile, TSMC years of hard work across multiple facilities, TSMC is
incorporated hazardous substance management able to confirm that NMP replacement not only meets
regulations in the TSMC Supplier Sustainability product quality demands but is also more friendly to
Standards, and provided training, auditing, and the environment.
guidance to suppliers. In 2022, TSMC continued to help
In addition, TSMC uses green procurement
all suppliers supplying materials with potential risks to
procedures to control chemical sources and ensure
develop capabilities to detect CMR substances.
new materials are free from hazardous substances.
In compliance with the TSMC Environmental Policy and New materials or changes to existing materials both
TSMC Safety and Health Policy, TSMC is committed to require confirmation through the green procurement
becoming a world-class company in environmental process to avoid any hazardous substances prohibited
protection, health, and safety. Sustainable chemicals or restricted by regulations or customers. In 2022,
management at TSMC includes compliance with to strengthen PFAS management, TSMC amended
international regulations and customer requirements. green procurement procedures, expanding the list of
In addition, the Company has always aimed to avoid prohibited substances from PFASs with eight or more
or minimize the use of hazardous substances. The carbons to PFASs with more than four carbons, taking
Corporate Environment, Safety and Health (ESH) control from the source.
Division and the Industrial Safety and Environmental
Sustainable chemicals management at TSMC is based
Protection Departments of the fabs are responsible
on the QC 080000 Hazardous Substance Process
for ensuring that the storage, transport, use, and
Management System Requirements and strives for
disposal of any irreplaceable hazardous materials are
continuous improvements by utilizing the plan-do-check-
compliant with regulations as well as TSMC's own ESH
act (PDCA) management model. All the TSMC fabs, upon
requirements to guarantee the health and safety of
official launch, acquire third-party certification.
all workers and prevent pollution to the environment.
TSMC Quality and Reliability Laboratories strive to innovate in testing methods to support technology development

65
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

PDCA Cycle for Sustainable Chemicals Management Work Items Key Tasks in 2022
Identify and register in compliance with regulations and customer requirements The EU added nine SVHCs to REACH and customers
Each month, the Corporate ESH Division identifies regulations on hazardous substances have amended management measures for hazardous
management in Taiwan and beyond as well as customer requirements to inform related units to take substances; TSMC complied with all new requirements

Plan the necessary measures and track progress through the internal electronic notification system

List of banned or restricted substances The Restricted Substance List now includes PFASs with
Compile according to regulations, customer requirements, or TSMC requirements more than four carbons

Hazardous substance replacement programs


Formulate related plans in compliance with regulations, customer requirements, or TSMC requirements

New material review Reviewed a total of 215 new chemicals, of which 127 were approved; of the 88 new
All new chemical materials or changes to existing materials are chemicals that failed to pass reviews, five were rejected for containing substances
Do subject to review by the Corporate ESH Division and Industrial
Safety and Environmental Protection Departments of the fabs to
highly hazardous to human health, one was rejected because TSMC was unable to treat
the resulting wastewater, one was rejected because the proper waste handling could
confirm the new chemical complies with ESH regulations before not be guaranteed, and 81 were rejected because suppliers were unable to provide
R&D evaluation necessary information or there were no requirements for an assessment
Plan Do
Carry out hazardous substance replacement programs TSMC continued to reduce the use of NMP in wet etching processes; in 2022, NMP
usage decreased by 97.2% from 2016

Check Raw material hazardous substance test Completed random sampling


Act tests for 132 raw materials; all
Suppliers are required to provide proof of compliance with hazardous substance specification test report issued by ISO
17025-certified labs. TSMC may take random samples to ensure the compliance of raw materials test results were in line with
Check In 2022, the Chemical Lab acquired an X-ray fluorescence spectrometer, brought in operators, and helped operators
TSMC specifications
obtain the relevant licenses. The spectrometer is expected to be officially put into commission in 2023, which will increase Completed hazardous
hazardous substance screening speeds and safety NEW substance tests for main
products; results showed
Product hazardous substance test Environment assessment compliance with relevant
Sample and send main products to external ISO Developed ability to screen PFAS levels in water by referring to US EPA 537.1, regulations and customers'
17025-certified labs for testing every year enabling the regularly monitoring of effluents from various fabs NEW and TSMC's specifications

Act Management review Met the targets for NMP reduction, supplier audit and
Each quarter, management from the Quality and Reliability Organization shall organize an follow-up, new material reviews, and compliance with
interdepartmental meeting to review measures on hazardous substance management and incoming material inspection
progress toward annual targets

66
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Realize Quality Application


TSMC shows its commitment to quality in customers' requirements for the defective parts For quality in services, as advanced processes voltage stress, burn-in, and screening. In 2022,
technology, manufacturing, and services. For per million (DPPM) of new designs. This helps become more complex, TSMC introduced TSMC shared quality-related information with
quality in technology, TSMC helps customers improve and facilitate volume production for innovative Design-Technology Co-Optimization 29 customers and worked closely on quality and
design products with superior reliability. In 2022, subsequent designs, testing, and processes. (DTCO) to enhance the speed, power and density reliability testing to ensure stable production lines
TSMC completed quality and reliability certification To realize intelligent precision manufacturing, of TSMC's new process technologies. TSMC has and strengthen partnerships.
for 3nm process technology, 22nm embedded TSMC leveraged machine learning to create an also launched new process standardization
RRAM IP, the first TSMC-SoIC® and Wafer-on-Wafer automatic yield monitoring system and deployed training programs to familiarize customers Thanks to qualification in technology development,
(WoW) technologies. For more details, please refer the system to all facilities in 2022. The system has with new process design processes, which can real-time defense systems and innovative
to 5.3.6 Quality and Reliability of the TSMC 2022 been able to stabilize product yield and return help accelerate new product design timelines applications in semiconductor manufacturing
Annual Report. problems immediately. Moreover, the Quality and volume production. For example, the most services, as well as its continuous quality
and Reliability Organization completed several advanced training program is currently on N3E, improvement culture, TSMC had no major product
Demands from the automotive chip market have digital transformation projects in the areas of raw which was launched in November 2022. As of recalls in 2022. Meanwhile, a third-party audit
made automotive chips with HPC capabilities very materials management, statistical process control December 2022, a total of 10 clients with 11 verified the effectiveness of the Company's
critical in advanced processes. TSMC has cutting- (SPC), metrology and laboratory analysis, which training sessions and a total of 1,200 individuals quality management systems in compliance with
edge technologies and has been able to provide has enabled smart quality defense and remote have participated in the N3E course for the next IATF 16949:2016 and IECQ QC 080000:2017
design rules specific to automotive chips during management of manufacturing, making seamless generation of semiconductor innovation. In requirements. In 2022, TSMC's four backend fabs
the early stages of volume production using 5nm quality control across all TSMC fabs around the addition, to reduce product defects and risks of also continued to pass the certification of the
process technologies. The Company has helped world a reality. product returns, TSMC offers diverse courses to American National Standards Institute ANSI/ESD
customers design and plan automotive chips customers, sharing technical knowledges on high- (Electrostatic Discharge) S20.20 standard.
with HPC capabilities beforehand and will be
Development Focus of Quality Value Chain
updating design principles as more experience
with the volume production of 5nm technologies
● Develop technologies and help
is acquired. In 2022, TSMC completed verification customers design with superior product
of automotive IPs for TSMC's 5nm technology, ● Provide quality training courses and reliability to accelerate time to market
encourage suppliers to participate
perfectly addressing customer design requirement ● Develop real-time defense systems to
in TCIA to improve supply chain's
for auto chips. reduce product defects and prevent
sustainability
quality events
● Conduct training, auditing, and
For quality in manufacturing, TSMC has been
● Launch new process standardization
consultations to develop CMR
Raw training programs to accelerate new
able to strengthen the application of design substance inspection capabilities TSMC Customers
Material product design timelines
principles for automotive products by timely ● Share technological knowledge
Suppliers to help suppliers transform into
● Offer diverse courses to develop
physical failure analysis for process improvement. comprehensive knowledge in quality
semiconductor supply chain
In 2022, TSMC completed reliability verification management and work together on
quality and reliability
for 5nm automotive processes and met

67
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Case Study

Strengthen Yield Monitoring System with AI; Effectiveness of Auxiliary


Recognition Model Reaches 98%
Stable product yield and immediate response to Company continued to advance the system, developing and Yield Recognition Yield Anomaly Company-wide
manufacturing challenges are critical in the volume deploying automatic yield monitoring systems at all TSMC Model Pattern Model Deployment of
Automatic Yield
production of semiconductor chips, where time is of the fabs. The systems continuously improve the efficiency of Monitoring System
essence. In recent years, TSMC has been actively introducing yield monitoring and effectiveness of auxiliary recognition
digital transformation to increase the operational efficiency model reaches 98%. This can help reduce redundant work
of yield testing and quality monitoring. In 2020, the Company for engineers, enabling them to focus on real-time response
developed a highly accurate yield recognition model. In 2021, and professional analysis for yield monitoring to prevent 2020 2021 2022

a highly accurate yield anomaly pattern model was launched yield losses and strengthen product quality.
by introducing automatic cross-check analysis. In 2022, the

Automatic Yield Monitoring System

Framework 98%
Principle Effectiveness of
Yield Auxiliary Recognition Model
Recognition

65%
Model

Execution rate achieved


Machine Learning Cross Analysis Automatic
100% deployment rate to all fabs
Notifications to
Engineers
Yield Anomaly
Pattern
Manual Labor
Model Reduced confirmation time required by
workers, saving labor costs

68
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Customer Relations
Strategies 2030 Goals 2023 Targets 2022 Achievements

React with Precise


Response
Provide excellent customer Maintain a customer satisfaction rating of over 90% Maintain a customer satisfaction rating of over Customer satisfaction rating of 88% Note 2
service through close 90% Target: >90%
collaboration with
customers and regular Reduce cases of problematic engineering quality to Reduce cases of problematic engineering quality Reduced cases of problematic engineering quality to
customer meetings/ 20% of the level in 2019 for every one million 12-inch to 30% of the level in 2019 for every one million 36% of the level in 2019 for every one million 12-inch
surveys to understand wafers shipped Note 1 12-inch wafers shipped wafers shipped
their requirements and Target: 55% of the level in 2019
respond to their feedback

Establish Virtual Fab


Service Provide >1,200 wafer manufacturing and process Provide >994 wafer manufacturing and process Provided >944 wafer manufacturing and process
Provide comprehensive technologies and >170 advanced packaging technologies and >147 advanced packaging technologies and >129 advanced packaging technologies
information promptly technologies in line with the TSMC technology technologies in line with the TSMC technology in line with the TSMC technology roadmap
to ensure the success roadmap roadmap Target: 932 wafer manufacturing and process technologies and
of customer's products; 107 advanced packaging technologies
strengthen processes
and systems to ensure Pass customer product information security audits Pass customer product information security audits Passed customer product information security audits
that customer product with no major flaws with no major flaws with no major flaws
information receives Target: No major flaws
protection of the highest
standard

Note 1: In 2022, cases of problematic engineering quality have been reduced to meet the 2030 Goal. As such, the 2030 Goal for reducing cases of problematic engineering quality or poor
reliability has been adjusted from 50% to 20% Exceeded Achieved Missed Target
Note 2: Please refer to the Precise Response section for the reasons that the goal was not achieved

69
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Customer trust is a core value integral to TSMC. The Precise Response


Company believes that gaining customer's trust is
Customer feedback and satisfaction ratings are quality or poor reliability for every one million 12-inch 2019, exceeding the annual goal of 55%. As such, the
critical to consistent innovation in technologies, and
opportunities for TSMC to improve. The customer wafers shipped were reduced to 36% of the level in Company decided to ramp up the 2030 Goal to 20%.
the Company is committed to providing products
service team learns and analyzes customers'
and services of the highest quality. TSMC's vision
commercial and technological demands through Various Communication Channels for Customers
is to become "the largest and most advanced
annual satisfaction surveys, quarterly evaluation
dedicated IC design and manufacturing service
meetings, and need-based meetings to provide
provider in the world." Service teams dedicated
optimal solutions to strengthen partnerships. In
to customers have been assembled that strive to Independent third-party
2022, TSMC conducted the annual satisfaction TSMC customer service teams conduct meetings
provide world-class services in areas such as product consulting firms conduct
with customers interviews or online surveys
survey with more than 200 customers and held over
design, mask fabrication, wafer manufacturing, and
100 quarterly evaluation meetings with more than
backend packaging. Digital transformation is also
30 customers, hosting more than 1,200 online and
being introduced as part of the commitment to
offline meetings for the managerial level. Irregularly Quarterly Annually
providing excellent services to make customers more
competitive, build deeper and stronger relationships In 2022, the global semiconductor market
with customers, and collaborate with them to get a experienced extreme changes: from strong demands Annual
head start in the market. Customer Quarterly Customer
in the first half of the year to decelerated growth in Meeting Review Satisfaction
the second half. With uncertainties looming over Survey
the industry, TSMC decided to double down on
collaboration and communication with customers. In
Technology, Quality,
Customer 2022, customer satisfaction levels have rebounded
Yield Rate, Design, Behavior, Impression, and
trust from 84% in 2021 to 88%. In the future, TSMC will Customer Needs
Manufacturing, and Execution
continue to work on long-term capacity planning, Customer Service
tech support, and other areas. The Company hopes
2022
that the newly added capacity and the distribution
Virtual fab Precise
of existing capacity can satisfy customer demands, > 90 Customers > 30 Customers > 200 Customers
proactively response to
provides customers' provide greater supply chain resilience, and
>1,200 Irregular Meetings 100 Quarterly Reviews
integrated services needs ultimately, help TSMC achieve its long-term goal
of 90% customer satisfaction. Meanwhile, TSMC is
proud to announce that customer trust, an indicator
Comprehensive Customer from the annual customer satisfaction survey, has
Close
and timely confidential
collaboration already increased to 95%. TSMC is also advancing
information via information
with customers Customer Needs Improvement Plans Progress Review
TSMC-OnlineTM protection manufacturing technologies to achieve better quality
and yield. In 2022, cases of problematic engineering

70
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Cases of Problematic Engineering Quality Virtual Fab


for Every Million Wafers Shipped Real-time interaction and information exchange functions and frameworks, importing customer technology roadmap and support diverse product
are the main reasons customers consider TSMC as workflows and personalized concepts to meet demands from customers, TSMC provided over 944
their own wafer fabs. TSMC-OnlineTM is a customer customer demands. The upgraded system allows wafer manufacturing technologies and over 129
self-service portal that provides comprehensive for intuitive operation and easy self-service that can advanced packaging technologies for customers.
100% information on in-house technologies and increase operational efficiency for customers. As of In terms of proprietary information protection
manufacturing, allowing customers to feel at December 2022, TSMC-OnlineTM has processed an for customers, TSMC complies with international
70% home but also protected with robust proprietary average of more than 3,000 log-ins each day. regulations and standards and has obtained ISO
55% information protection services. In 2022, TSMC- 27001 certification, the international standard for
OnlineTM was upgraded by reorganizing system In 2022, to accommodate the development of the information security management. TSMC has also
36%

TSMC's customer service team provides world-class services in areas including wafer production, testing, etc.

2019 2020 2021 2022

Annual Customer Satisfaction

93 93 93 84 88

2018 2019 2020 2021 2022


Annual customer satisfaction rate (%)
Note: Figures for customer satisfaction ratings include TSMC fabs in
Taiwan and overseas subsidiaries

71
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

established an Information Protection Policy and


SOP to ensure, under the virtual fab, the highest
level of security that customers enjoy with their own Case Study
foundries to protect customers' interests.

TSMC is committed to delivering products of The Upgraded TSMC-OnlineTM Delivers a Brand-New Customer Experience
the highest quality and pursuing innovative
collaborative models based on the core value TSMC is employing innovative digital collaboration to help customers succeed. In 2022, TSMC-OnlineTM was upgraded not only by changing the user
of Customer Trust. As a trusted technology and interface but, more importantly, by optimizing service flows. The system framework was restructured to deliver a new and improved customer experience
capacity provider in the global logic IC industry, with TSMC-OnlineTM.
TSMC works with customers to support their
success and sustainable operations. Customers' The Personalized Workspace is one of the highlights of the upgraded TSMC-OnlineTM system, as it makes the customer's workflow more convenient. In the
success is TSMC's success, and the Company will Personalized Workplace, two system functions for One-Stop File Download and Subscription Management have also been added to enable customers to
continue to work closely with customers to provide access and filter technical documents and
customer-oriented services. download multiple files from their Carts with
a single click. Customers can also schedule
to send production reports at designated
Types of Technology for Customers
times and subscribe to technical documents
for the latest updates to access the latest
technical documents and production
944 reports. My Favorite Functions allows
900
customers to add frequently-used functions
833
to their workplace to accommodate their
765
716 job requirements and habits. The function
makes it easy for users to manage and
129 personalize information, which increases
94
77 their operational efficiency. For more details,
46 60
please refer to TSMC Optimizes Customer
Experience by Upgrading Its Online Service
System.

2018 2019 2020 2021 2022


Types of wafer manufacturing technology
Types of advanced packaging technology
Note: Figures for types of technology are from TSMC fabs in Taiwan and
overseas subsidiaries
72
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

TSMC Delivers Unrivalled Manufacturing Flexibility

>15 million
2022 total managed capacity reached over 15M 12-inch
wafer equivalents
HQ and Fab 12
2022 288 Fab 11
Fab 2 / 3 / 5 / 8
2021 291
Fab 15
Technologies 2020 281
Fab 16 Fab 6 Fab 21
Fab 14
2022 532 Fab 10 Fab 23
Fab 18
2021 535
Customers 2020 510

2022 12,698
2021 12,302
Products 2020 11,617

Fab 2 Fab 3 Fab 5 Fab 6 Fab 8 Fab 10 Fab 11 Fab 12 Fab 14 Fab 15 Fab 16 Fab 18

25 68 28 56 43 42 22 68 79 28 10 4

64 167 61 158 155 167 37 149 263 176 30 21

1,055 1,426 306 1,194 1,953 1,503 420 1,045 2,419 1,387 86 87

73
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

A Responsible Purchaser
TSMC is committed to responsible purchasing and works closely with supply chain partners on technology, quality, delivery, human
rights, and environmental protection. In the face of serious climate change challenges, the Company will further strengthen green
innovation and climate resilience and strive to create a low-carbon semiconductor supply chain

1.2 Million 100% 530 GWh


TSMC Supplier Sustainability Responsible Minerals Sourcing Cumulative energy conserved by
Academy users suppliers with TSMC's support

Sustainable Supply Chain

74
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Sustainable Supply Chain


Strategies 2030 Goals 2023 Targets 2022 Achievements

Improve Sustainability Ensure 100% of Tier 1 suppliersNote1 complete Ensure 100% of Tier 1 suppliers complete the Ensure 100% of Tier 1 suppliers complete the Sustainability
Risk Management the Sustainability Management Self-Assessment Sustainability Management Self-Assessment Management Self-Assessment Questionnaire
Questionnaire Questionnaire Target: 100%
TSMC requires all suppliers
Note2
to adhere to the TSMC Ensure 100% critical suppliers receive Code of Ensure 70 critical suppliers receive third-party A total of 60 critical suppliers completed third-party supplier
Supplier Code of Conduct, Conduct audits by RBA-certified agencies every audits audits with an annual completion rate of 100%
taking actions to improve three years Target: 60 critical suppliers
labor rights, safety and
Ensure 980 sessions of S.H.A.R.P. audits toward Ensure 100 sessions of S.H.A.R.P. audits toward Completed 100 sessions of S.H.A.R.P. audits toward critical high-
health, environmental
critical high-risk suppliers at a pace of 100 critical high-risk suppliers risk suppliers
protection, business ethics,
sessions a year Target: 100 sessions
and the efficiency of the
management system; Supplier due diligence on responsible mineral Supplier due diligence on responsible mineral 100% responsible mineral sourcing
the Company has also sourcing: 100% of the minerals used are sourced sourcing: 100% of the minerals used are sourced Target: 100%
taken the initiative to responsibly responsibly
help suppliers continue
to improve their core Audit a cumulative total of 30 suppliers Complete audits on ≧ 3 suppliers for due Completed audits on 5 suppliers for due diligence on responsible
capabilities to reduce risks ( ≧ 3 suppliers per year) for due diligence on diligence on responsible mineral sourcing mineral sourcing
of disruption to business responsible mineral sourcing Target: ≧ 3 suppliers
operations Continue to diversify production plant sites and Complete the development of 145 multi-source Completed the development of 135 multi-source supply programs
assess new suppliers; develop 185 multi-source supply programs Target: 130 programs
supply solutions Note3 (Base year: 2018)
Ensure a cumulative total of 145 local raw Ensure a cumulative total of 75 local suppliers Ten suppliers received consultation on process advancement and
materials suppliers receive consultation on receive consultation on process advancement and quality improvement, bringing the cumulative total to 65
process advancement and quality improvement quality improvement Target: 10; cumulative total 65
(Base year: 2016)
Ensure a cumulative total of 300 raw materials Ensure a cumulative total of 190 raw materials 29 raw materials suppliers participated in the annual emergency
suppliers Note4 participate in the annual emergency suppliers participate in the annual emergency response drill, bringing the cumulative total to 161
response drill (Base year: 2016) response drill Target: Cumulative total 150

Ensure a cumulative total of 1,500 suppliersNote4 Ensure a cumulative total of 1,050 suppliers 201 suppliers participated in the ESH training programs, bringing
participate in the Environmental Safety and participate in the ESH training programs the cumulative total to 960
Health (ESH) training programs (Base year: 2016) Target: Cumulative total 900

Ensure 100% critical high-risk suppliers complete Ensure 100% critical high-risk suppliers 100% Note5 of critical high-risk suppliers completed Safety and
Safety and Health consultation complete Safety and Health consultation Health consultation
Target: 100%
Note1: Tier 1 suppliers: Suppliers trading directly with TSMC with more than three orders per year, Note3: Since risk evaluation has also been incorporated into new processes in recent years, the target was
with order amounts exceeding NT$5 million. In 2022, 1,230 suppliers met the criteria increased from 145 in 2030 to 185
Note2: Critical suppliers: Suppliers accounting for the top 85% of the purchasing expenses or Note4: Mainly involving suppliers in Taiwan Exceeded Achieved Missed Target
of a single-source purchase, or suppliers recognized as critical by TSMC after assessing Note5: In 2021, TSMC audited 70 critical high-risk suppliers, among which five suppliers scored below 70 for
multiple risk indicators, including the suppliers' market shares, inventory levels, and other Safety and Health and had received consultation; guidance has been completed (one of the shortcomings 75
characteristics in the audit has not yet obtained ISO 14064 verification, so TSMC will continue to follow up)
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Strategies 2030 Goals 2023 Targets 2022 Achievements

Promote Green and Increase local sourcing Note6


Low-carbon Supply - 64% for indirect raw materials Source 62.5% of indirect raw materials locally Sourced 62.1% of indirect raw materials locally
Chains Target: 60.5%
TSMC continues to
- 60% for spare parts Source 44.5% of spare parts locally Sourced 43% of spare parts locally
reduce environmental Note7
Target: 50%
impact and its external
cost and minimize the Provide consultation on power reduction for Reduce supplier energy consumption by a Reduced supplier energy consumption by a cumulative total of
effects of climate change suppliers Note4 and reduce energy consumption by a cumulative total of 550 GWh 530 GWh
and resource depletion total Note8 of 1,500 GWh (Base year: 2018) Target: 430 GWh
by leading suppliers in
Provide consultation on water reduction for Reduce supplier water consumption by a Reduced supplier water consumption by a cumulative total of
establishing reduction
suppliers Note 4 and reduce water consumption by cumulative total of 30 million metric tons 29.08 million metric tons
targets on power and
a cumulative total of 35 million metric tons (Base Target: 20 million metric tons
water consumption, waste
year: 2020)
generation, and carbon
emissions, propelling the Suppliers invited to participate in CDP in the Suppliers invited to participate in CDP in the Suppliers Note9 invited to participate in CDP in the year achieved an
sustainable development year should achieve an average score of B and a year should achieve an average score of C and a average score of C and a response rate of 81% NEW
of supply chains response rate of 95% NEW response rate of 85%
Ensure 100% of high energy consumption Note10 Ensure 70% of high energy consumption suppliers 65% of high energy consumption suppliers received ISO 14064
suppliers receive ISO 14064 GHG Emission receive ISO 14064 GHG Emission verification GHG Emission verification
verification (Base year: 2021) Target: 55%

Reduce waste production among local major Reduce waste production among local major Reduced waste production per unit among local major suppliers
suppliersNote11 by 42%Note12 (Base year: 2014) suppliers by 35% by 34%
Target: 32%

Note 6: Mainly focused on suppliers based in Taiwan, which is the main operation region of TSMC Note 10: Definition of high energy consumption suppliers: Suppliers in Taiwan whose energy
consumption at a single site exceeds 5 GWh per year
Note 7: For spare parts, since the proportion of advanced processing increased, the quality
requirements became stricter. Currently, suppliers in Taiwan have not been able to meet Note 11: Mainly focusing on suppliers in Taiwan producing 80% of the waste in raw materials.
TSMC requirements, and since TSMC had to increase inventory levels due to the uncertainty Calculation formula: A/(A+B)(%); A: waste reduced by the factory in that month (metric tons);
of supply chain capacity and shipments, it missed the annual local sourcing target B: waste produced by the factory in that month (metric tons)
Note 8: The cumulative total of power reduced included the existing achievement of past efforts and Note 12: In the most recent two years, the main waste-producing suppliers have vigorously promoted
the newly achieved reduction results waste reduction and improvement plans, thus the target for 2030 has been increased from
35% to 42%. The key improvements were: (1) Recycling of heavy metal sludge, converting
Note 9: Suppliers invited to participate in CDP in 2022: A total of 137 suppliers of raw materials and sludge that could only be solidified and treated into renewable raw materials; (2) Installing
equipment met the top 80% of procurement categories and expenditures sludge filtration and treatment equipment to decrease sludge output Exceeded Achieved Missed Target

76
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

TSMC is dedicated to building an environmentally Promoting Green and Low-carbon Supply Chains. operations. Additionally, to answer the calls for green
and socially responsible operating model, exerting The Company continued to require suppliers to manufacturing, TSMC has taken the initiative to
influence towards sustainability as the global leader adhere to the TSMC Supplier Code of Conduct in reduce the environmental impact of supply chains,
of the semiconductor industry. In 2022, TSMC operations, taking actions to improve safety in the prompting suppliers to set reduction targets for
continued to uphold two main strategies as its workplace, dignity for labor, ethical operations, and power and water consumption, waste generation,
supply chain sustainability management guideline: comprehensive protection of the environment, and and carbon emissions. TSMC aims to mitigate the
Improving Sustainability Risk Management and continued to reduce risks of disruption to business impact of climate change on society and lay the
foundation for the sustainable development of
Supplier Sustainability Management Framework supply chains.

Promote Green and Improve Four Guiding Principles of Sustainable


Low-carbon Supply Sustainability Risk Supply Chain Management
Chains Management

Suppliers comply with the TSMC


Supplier Code of Conduct and
Improvement
Continue to Reduce extend the scope of management
TSMC Supplier Measures for Compliance
Environmental Impact Local to their upstream suppliers
Code of Sustainability Guidance
sourcing Management
Conduct

Suppliers determine the level


Supply Online of Code compliance via the
360 Sustainability Self-Assessment
Reduction measures Questionnaire or Sustainability
Risk
for power and water Risk Assessment by the TSMC
Assessment
consumption Team
Responsible minerals
due diligence and
management Critical Suppliers are audited
by RBA-certified third-party
Guidance institutions or audited on-site by
Promote low- for process the TSMC Supplier Healthiness
carbon supply quality Audit
Assessment Rectification Program
chains and ESH Participation
(S.H.A.R.P.) Team
capabilities

Suppliers implement improvement


Strengthen Supply
measures according to the audit
Chain Resilience
results and receive relevant
Continuous consultation or follow-up evaluation
Improvement

TSMC joins hands with suppliers to lay the foundation for


sustainable development 77
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Improve Sustainability
Risk Management
Improvement Measures for ● Compliance Guidance the improvement measures required for suppliers a monthly fire protection equipment inspection and
Sustainability Management As a member of the Responsible Business Alliance
according to supplier classification. maintenance plan. TSMC determines the level of risk
based on the SAQ results and priority accident records,
TSMC worked closely with supplier partners through (RBA), TSMC has established its Supplier Code of
First, TSMC investigates and analyzes all suppliers it and it defines supplier grades according to indicators
the four guiding principles of Compliance Guidance, Conduct according to RBA's Code of Conduct. TSMC
conducts business with and requires Tier 1 suppliers such as procurement expenses, product criticality, and
Risk Assessment, Audit Participation, and Continuous requires Tier 1 suppliers to comply with the Code of
to conduct the Self-Assessment Questionnaire (SAQ) to business relations with TSMC. Using the two dimensions
Improvement to urge suppliers to improve their Conduct while encouraging them to ask their upstream
evaluate their performance in sustainability. In 2022, Tier of Risk and Criticality, TSMC establishes a Supplier
sustainability performance and take the initiative suppliers, contractors, and service providers to adopt
1 suppliers in Taiwan completed 1,230 SAQs. According Risk Matrix to define supplier grades and formulate
to promote sustainable practices to their upstream the same Code in their practices and management.
to the survey results, for the Dangerous Workplace exclusive management measures accordingly. In 2022,
suppliers. To prompt common growth among New suppliers must sign the TSMC Supplier Code of
category, the most common problem among 4% of the suppliers with physical production lines were targeted
supplier partners worldwide, TSMC established Conduct to be eligible for partnership.
suppliers was failure to stipulate operating procedures for management. Besides the existing SAQ items, two
the global responsible supply chain management for dangerous machines; in terms of Personnel assessment items, Supply Chain Risk Management and
platform Supply Online 360. The platform serves as an ● Compliance Guidance Operation Safety, 3% of suppliers did not have Process Reliability, were added, while climate change
integrated communication channel with all suppliers, To gain an in-depth understanding of the adequately equipped emergency response personnel, response measures, fire protection system integrity, and
propelling tangible change with virtual data analysis current status of supply chain development and and they did not formulate effective first aid procedures; green manufacturing were also considered. Suppliers
and bringing sustainable semiconductor supply chain potential risks, TSMC conducts a three-phase risk regarding Fire Protection Equipment Design and scoring less than 70 points were included as the priority
practices into reality. assessment in supplier management, identifying Maintenance, 16% of the suppliers had not established inspection targets of on-site audits.

Supply Chain Three-phase


Risk Assessment Self-Assessment Questionnaire Results Critical High-risk Supplier Assessment Process

Conduct a preliminary assessment by 1,229 1,226 1,144 1,065 1,230


examining the category and origin of Tier 1 Suppliers
Phase
One all products based on the business Suppliers trading
relations TSMC has with the suppliers 100% 100% 100% 100% 100%
directly with TSMC
to analyze potential risks
with more than
three orders per Critical Suppliers Critical High-risk Suppliers
Define the Supplier Risk Matrix and year, with order High-risk
Suppliers accounting for the top Determined according
Phase the grading management measures Suppliers
amounts exceeding 85% of the purchasing expenses to SAQ results, priority
Two according to the Tier 1 suppliers' SAQ
results, resilience of the supply chain, NT$5 million or of a single-source purchase, or Received on-
site audits for
incident records, and
business items
suppliers recognized as critical by
and trading amount TSMC after assessing multiple risk continuous
indicators, including the suppliers' improvement
market shares, inventory levels,
For critical high-risk suppliers in the
and other characteristics
Matrix whose management is more
Phase urgent, TSMC conducts on-site audits
Three to identify the actual risk status and 2018 2019 2020 2021 2022
provides constant consultation to Number of Tier 1 suppliers
reduce risks
Percentage completing SAQ

78
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

● Audit Implementation and Continuous


Improvement S.H.A.R.P.
After identifying risks using the Supplier Risk Matrix, TSMC conducts
on-site or remote audits focusing on the six major categories of 33 46 24 33 60
Supply Chain Risk, Quality and Reliability, Environmental Safety and 0 0 35 86 100
Health, Fire Protection System, Labor Ethics, and Management
● Environmental Safety
and Health
System to determine potential risks and opportunities. TSMC
● Labor Ethics
requires suppliers to propose improvement plans and schedules
● Management System
and assigns the TSMC S.H.A.R.P. Team to offer guidance and follow-
up on improvements regularly. The Company has further extended
audit projects such as key guidance and new factory design ● Supply Chain Risks
guidance, and constantly strengthens suppliers' self-management ● Quality and Reliability
awareness and improvement ability. In 2022, TSMC conducted 160 ● Environmental Safety
on-site audits of critical high-risk suppliers to properly track the risk and Health
status of the suppliers and improve their operational sustainability. ● Fire Safety System
By doing so, TSMC can ensure stable materials supply and services,
provide a safe and healthy workplace, and minimize environmental 2018 2019 2020 2021 2022
and social impact. TSMC team audits Third-party audits

Supplier Risk Matrix, Classification and Management Measures


Critical Critical Non-critical Non-critical
High Risk high-risk low-risk high-risk low-risk
Supplier Classification and suppliers suppliers suppliers suppliers
Critical Non-critical Management Measures
High-risk High-risk
suppliers suppliers
Sign the TSMC Supplier Code of
Conduct

Risk Assessment via SAQ


Critical Non-critical
Complete the TSMC
Supplier Code of Conduct
Program of the Supplier
Critical Non-critical
Low-risk Low-risk Sustainability Academy
suppliers suppliers
On-site Audit (Including Re-audit
and Focus Consultation)
Low Risk

TSMC conducts supplier audits to identify potential risks and


Risk level High Moderate Low 79
opportunities for improvement
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

2022 Critical High-risk Suppliers Audits and Areas for Improvement


Category/Distribution of Audit Violations Major Audit Findings Improvement Results of TSMC Suppliers

18% 60% 22% ● Business continuity plan lacks contingency measures ● Formulated the business continuity plan and conducted regular drills
Supply
Auditors ● Some critical spare parts are below safety stock or completely ● Inspected the safety stock level of critical components
Chain
Risks
out of stock
TSMC S.H.A.R.P. Team and ● Material and component safety stock level undefined ● Conducted another inventory of materials and established safety stock
RBA-certified Third-Party
Institutions
17% 58% 25% ● Preventive maintenance mechanism and annual maintenance ● Established an annual Preventive maintenance mechanism and regular
Quality and plan not clearly defined maintenance plan
Reliability ● Engineering change management not implemented in the ● Use control form to record engineering changes and execution dates
Audit Sessions fabs

160
● Poor contractor management, construction safety analysis not ● Established a contractor management procedure, carried out a construction
11% 48% 41% implemented safety analysis and on-site construction assignments
Environmental
Sessions toward critical Safety and
● Chemicals not stored according to regulations, anti-spill trays ● Formulated chemical storage regulations and purchased anti-spill trays
high-risk suppliers Health not installed ● Installed monitoring equipment conforming to the emission monitoring
● Waste water system not monitored before discharge measures, implemented regular maintenance and calibration

Audit Methods ● Failure to establish a regular inspection mechanism for fire ● Created a fire protection equipment inspection table to conduct monthly
6% 34% 60% protection equipment and quarterly inspections
Fire ● No firestops in the destroyed area of fire zone or incorrect ● Conducted a factory-wide inspection, and filled the openings passing through
Protection
construction method the fire zone with firestops according to the certified construction method

147
System
● Fire doors along the escape route cannot be closed ● Fire doors should be closed at all times, if they need to stay open at all
completely when the alarm goes off times, magnetic door locks should be installed
On-site audits
● New employees have to pay for pre-employment physical ● Expenses incurred by the employee due to employment are paid for by
exams, which violated the zero-cost requirement stipulated in the employer
3% 18% 79%
Labor the TSMC Supplier Code of Conduct
Ethics ● Failure to devise and implement an adequate and effective ● Established an apprentice, intern, and student worker hiring policy and
policy and procedure for hiring apprentices, interns, and procedure
student workers

13 1% 99%
● Failure to communicate the requirements of the TSMC
Supplier Code of Conduct with upstream suppliers
● Required and supervised upstream suppliers to adhere to the TSMC
Supplier Code of Conduct
Remote audits Management ● Lack of an adequate and effective self-audit process, failure ● Required suppliers to establish a self-audit process and conduct a regular
System
to regularly evaluate whether they comply with the TSMC evaluation to ensure compliance with TSMC's requirements
Supplier Sustainability Standard and Code of Conduct

Priority Violations Note 1 Major Violations Note 2 Minor Violations Note 3


Note 1: Priority violations may present higher risks of production halt, life, serious illegal affairs, or systematic failure. For example: lacking a response mechanism for an unexpected halt in production lines, environmental pollution, hiring child labor, or forced labor
Note 2: Major violations refer to significant differences between implementation and proper ESH procedures, such as daily operations not adhering to ESH procedures
Note 3: Minor violations refer to risks other than priority or major violations, such as incomplete training records or incomplete ESH procedures
80
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Re-audit and Key items improved Projects


To ensure suppliers' improvement results, the emergencies. 22 re-audits were completed in 2022. events, increase process stability, operational
TSMC S.H.A.R.P. Team focused on four major Through multiple rectification, suppliers are able to safety, and reliability, maintain supply quality, and
dimensions of the six major categories, Supply perform self- review to effectively improve audited avoid the risk of material supply interruptions.
Chain Risks, Quality and Reliability, Environmental findings, decrease the occurrence of abnormal
Safety and Health, and Fire Protection System, to
launch short, medium, and long-term improvement Supplier Re-audit Process and Results
plans to solve the problems from previous audits.
Furthermore, a key items improved project was
implemented and suppliers were required to Summarize ● Evaluate suppliers' production line maintenance conditions and
Findings from
formulate a business Continuity Plan (BCP) and mechanisms, as well as the occurrence of abnormal events after the first
the Previous audit
conduct regular drills, and formulate contingency Audit
measures to minimize the potential risks of

● Improvements of the findings from the previous audit were not as expected,
Cumulative Number of Suppliers so an improvement consensus was reached with the suppliers and the key
Re-audited Over the Years Arrange for
items direction was explained on-site to make sure all levels of suppliers
understand the target in order to implement improvement measures
Re-audit ● Implement a regular tracking mechanism to set up and review operating
0 14 22 procedures, provide consultation, and ensure the operational standards
comply with suppliers' needs

● Define replacement standards for obsolete equipment in the fabs


● Real-time monitoring of important processes or equipment parameters in
Design Key the production lines
Items for ● Ensure regular maintenance of production line equipment
Improvement ● Install relevant equipment in various areas of the fabs in accordance with
environmental and fire protection regulations

● Replaced obsolete industrial sulfuric acid production lines


Improvement ● Use IE3 motors
Results of
2022 ● Created an EPA monitoring system and implemented certification
● Horizontally expanded the maintenance form to various equipment
2020 2021 2022
The re-audit serves to confirm that the supplier has completed the
improvement of the previous findings to design key items
improvement projects

81
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Procurement Arrangement of Main time, TSMC also collaborates with suppliers to one involved ambiguous overtime hours without
Raw Materials facilitate advanced material R&D, process innovation, overtime pay, for which the suppliers were immediately
and quality improvement, thereby continuously required to make improvements according to the
TSMC has formulated comprehensive procurement
improving supply chain resilience and generating the TSMC Supplier Code of Conduct and compensate
management actions for main materials, and it
values of a circular economy. For more information, employees' due salaries and overtime pay; the final
continues to collaborate with suppliers in five major
please refer to 5.3.5 Raw Material and Supply Chain dispute was related to the protection of confidential
areas: supplier distribution, diverse sources of
Management in the annual report. information, and TSMC has reinforced information
materials, quality control, local procurement, and
asset management.
sustainable operations. By adjusting the global
Suppliers' Reporting Procedure
market deployment, developing diverse sources of Reporting Procedure
materials, and increasing localized procurement, TSMC values people above all else and has established
potential supply chain risks such as insufficient a Supply Chain Employee Reporting Channel on Supply
production capacity, quality defects, delivery Online 360. This reporting channel offers protection Supplier Employees
delays, inflation, and major natural disasters can for the employees of suppliers, extends and deepens ● Supply chain employee
be proactively resolved. Furthermore, suppliers TSMC's management practices, and builds a more complaint channel
are required to incorporate sustainability into their inclusive workplace for the supply chain. In 2022, there
operational considerations in accordance with the were five reported cases, of which two were erroneous
TSMC Supplier Sustainability Standard. At the same claims; one was a remuneration-related dispute and

Key Management Actions for Main Raw Materials

Main Raw Grinding TSMC Grievance


Materials Fluid, Handling Committee
Silicon Process Photolithography
Gases Grinding Pad,
Management Wafers Chemicals Materials ● Investigation and supervision
Diamond
Dimension Discs ● Penalty
● Report to TSMC's top
Key Suppliers 6 suppliers 12 suppliers 7 suppliers 9 suppliers 7 suppliers supervisor of supply chain
management for a penalty
Diverse Sources of
Materials

Quality Control Suppliers


● Examine problems and make
Local Procurement improvements
● Implement improvement
Sustainable Operations measures

Through comprehensive management actions, TSMC requires


suppliers to incorporate sustainability into their operations
82
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Responsible Minerals Sourcing


Case Study TSMC ensures that human rights, health, and the the Responsible Minerals Initiative (RMI) and Global for the cobalt used in TSMC products to clients.
environment in mineral production areas are not e-Sustainability Initiative (GeSI). The Company In 2022, the Company completed the inventory of
violated by purchasing conflict-free raw materials adheres to the Responsible Minerals Assurance mica usage in the supply chain. Since 2021, TSMC
TSMC Supplier Sustainability from dependable sources. In light of this, the Process (RMAP), requiring suppliers to procure has audited at least three suppliers of tantalum,
Academy Reaches 1.2 Million Company has adopted a series of legal compliance conflict-free raw materials. tin, tungsten, and gold annually, ensuring that
Users—Fostering Common measures including the establishment of a due
TSMC requires suppliers to comply with the
these suppliers formulate and implement conflict-
diligence framework following the Model Supply free minerals management processes and conduct
Good in the Industry Chain Policy for a Responsible Global Supply Chain responsible minerals sourcing policy and sign the due diligence on upstream suppliers. For the latest
Through Digitalization of Mineral from Conflict-Affected and High-Risk statement of responsible minerals for products TSMC disclosure documents, please visit TSMC's
Areas published by the Organization for Economic containing tantalum, tin, tungsten, and gold. Since official website or the US Securities and Exchange
To bolster the capabilities of suppliers, the Cooperation and Development (OECD). At the same 2017, TSMC has also disclosed the source smelters Commission website.
TSMC Supplier Sustainability Academy has time, TSMC is one of the staunchest supporters of
planned seven major programs with 44
courses, transforming TSMC's operating Conflict-free Minerals Due Diligence Responsible Minerals Management Process
and manufacturing experience into
online animated teaching materials and 259 229 234 231 215 Statement on
Investigate
sharing them with the supply chain free 31 37 36 39 40 Responsible
Sources of Mineral Due Diligence Public Disclosure
of charge. As of 2022, 1.2 million people Procurement of
Raw Materials
have completed training, and 284 Tier 1 Raw Materials
100% 100% 100% 100% 100%
suppliers have used the platform to train
their suppliers and connect to the internal
contractor construction management
system, requiring those engaging in high-
risk operations to complete the necessary
courses before they can be qualified Third-party
TSMC Suppliers Public
for construction to minimize potential Companies
industrial safety risks. The Enterprise
GHG Inventory course is expected to be
included in the compulsory courses for 2018 2019 2020 2021 2022
Publication Response Audit Evaluation
Tier 1 suppliers in 2023 to enhance the Number of compliant smelters Number of suppliers
supply chain's green impact. Percentage of conflict-free minerals
Note: Figures from Tier 1 Suppliers of TSMC fabs in Taiwan, WaferTech,
TSMC (China), TSMC (Nanjing), and VisEra

83
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Number of Suppliers Participating in ESH Number of Suppliers Observing TSMC


Strengthen Supply Chain Resilience Training Annual Emergency Response Drills
TSMC ensures ESH sustainability management and training including ESH and Fire Response
in the supply chain with four separate steps: Workshop, Supplier Fire Protection Autonomous 108 115 147 201 201 20 22 21 21 29
Establishing Standards and Systems, Audit and Training, Supplier Carbon Inventory Workshop, 960 161

Violations Tracking, Consultation and Training, and Supplier Product Carbon Footprint 132
759
and Focus Consultation. To constantly improve Inventory Workshop in 2022. Through hands- 111
the sustainability of suppliers, besides regularly on teaching, group discussion, and practice by 558 90
organizing the Sustainable Supply Environment, professional lecturers, the learning performance
411 68
Safety, and Health Forum, practical fire protection and self-management capabilities of suppliers
296
equipment training, emergency response drills are optimized, thereby enhancing suppliers'
and observation, and on-site energy conservation environmental safety, health, and loss prevention
and carbon reduction diagnosis and guidance, capabilities, and forming an uninterrupted supply
the Company also launched a series of courses chain. 2018 2019 2020 2021 2022 2018 2019 2020 2021 2022
Annual attendance Cumulative attendance Annual attendance Cumulative attendance
Sustainable Management of the Supply Chain on Environmental Safety and Health

Supplier Follow-up Consultation Standards and System Establishment

● Critical supplier focus consultation ● Constantly update the Supplier Code of Conduct and
● Follow-up evaluations for high-fire-risk suppliers Sustainability Standards
● Supplier leadership commitment to the Code of Conduct and
Sustainability Standards
● Introduce fire protection and ESH design to new factories
Follow-up
Standards ● Establish designated fire protection management personnel
evaluation

Consultation and Training Sharing Audit Audit and Violations Tracking

● ESH and Fire Response ● Sustainable Supply ● Supplier ESH/fire protection audit
Workshop NEW Chain Environment, Safety and ● Violations mitigation and follow-up
● Fire Protection Autonomous Health Forum
● Refine audit techniques and strengthen audit methods
training NEW ● Fire Protection Practice and
● Supplier Carbon Inventory Operational Training
Workshop NEW ● Emergency Response Observation
● Supplier Product Carbon Footprint ● Energy Saving and Carbon
Inventory Workshop NEW Reduction Guidance

TSMC Sustainable Supply Chain Environment, Safety and 84


Health Forum
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Achievement of ESH and Loss Prevention Capability Guidance in 2022

Sustainable Supplier EHS Supplier Fire Fire Protection Fire Protection Emergency Supplier Supplier Energy
Supply Chain Workshop Response Practice and Autonomous Response Carbon Product Carbon Conservation
Environment, Workshop Operational training Observation Inventory Footprint and Carbon
Safety and Training Workshop Inventory Reduction
Health Forum Workshop Consultation

Target

Reinforce Help suppliers to understand Elevate suppliers' capabilities in fire protection, Guide suppliers to accurately Determine
suppliers' the TSMC Supplier Sustainability first aid, and emergency response identify the sources and data of energy
operational Standard and emergency carbon emissions from fabs and conservation
resilience response capability through products, and devise improvement opportunities
and promote interactive discussions and measures to attain reduction goals and provide
sustainable practice them to
measures such suppliers to
as a supply improve energy
chain net zero conservation
campaign
Participants

116 50 50 40 12 29 29 20 6
354 56 58 48 112 34 47 24
Raw materials Suppliers Bulk and Raw materials suppliers Suppliers who Suppliers Raw materials
suppliers requiring priority specialty gas have yet to who consume suppliers
improvement manufacturers obtain the ISO more than 5
due to ESH and high-fire- 14064 GHG million kWh
management risk suppliers verification of electricity
audit certificate annually
shortcomings

TSMC actively improves suppliers' fire protection, emergency


Suppliers People
response and disaster relief capabilities

85
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Case Study

Supplier Fire Protection Personnel Project 2.0—Further Improvement of


Self-management Capability
TSMC implemented the Supplier Sustainable Fire Protection Management Mechanism in 2020 and lines. Based on the principle of the Supplier Sustainability Standards, TSMC collaborated with external
established a Supplier Protection Personnel System in 2021 to allocate training resources to executive experts to open small classes for practical fire protection-related operational training and implemented
personnel in order to achieve optimal training performance. In 2022, to lower the risk of material the Supplier Fire Protection Autonomous Training Program. Meanwhile, online interactive courses will
supply interruptions caused by fires, TSMC launched the Supplier Fire Protection Personnel Project be planned and made available in the TSMC Supplier Sustainability Academy in 2023, so that suppliers'
2.0 in addition to introducing fire protection requirements that are superior to RBA specifications; fire protection training will not be subject to time and venue constraints, thereby refining fire protection
the Company also conducted on-site measurements and random inspections of supplier production management and equipment reliability on an ongoing basis.

Supplier Fire Protection Practice and Operational Training Supplier Fire Protection Autonomous Training Program TSMC Supplier Sustainability Academy Interactive Fire
Commissioned WuFeng University to organize the Practical Operational Training for Fire protection technicians hired by suppliers were asked to conduct on-site training Protection Course
Supplier Fire Protection Personnel, using MR equipment to conduct on-site drills in a on employee fire protection equipment. Moreover, TSMC's fire protection experts Apart from physical courses, TSMC expects to launch the Deficiencies in Suppliers' Fire
bid to improve suppliers' professional fire protection capabilities. A total of 40 supplier conducted on-site guidance and observation to verify training quality. A total of 12 Protection Audits and Improvement Measures online course in Chinese and English on Supply
representatives participated in two training sessions. suppliers have completed the training. Online 360, allowing domestic and foreign suppliers to participate in interactive fire protection
training online.

86
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Key Points in Promoting Supply Chain Environmental Safety and Health and Loss Prevention

Key Points
Safety Health
● Personal protective ● Emergency ● Occupational injury
equipment response ● Occupational disease Supplier Fire Protection
Personnel Project Audit and guide suppliers by applying
● Contractor ● Risk of natural ● Chemical management standards superior to RBA specifications
management disater Consultation topic: RBA 7.0
Improve on-site personnel's response
● Machinery Exercises - Fire safety system and operational capabilities
protection and exercises
maintenance Share ESH case studies to pass on
Key Points in Promoting On-site evaluations to follow up supply chain experience
Supply Chain on supplier improvement
Environmental Safety Increase the number of suppliers
Environmental and Health and Loss Fire Safety Participating in tabletop participating in TSMC emergency
Protection Prevention ● Fire prevention exercises for emergency response drills
● Pollution prevention ● Fire safety equipment response
Promote self-training and emergency
● Energy and water conservation maintenance Introduction of energy response drills
● Hazardous substance control ● Earthquake protection conservation standards to new
supplier factories
New supplier water reduction
targets
Suppliers with high energy
Supplier consultation Supplier consultation Supplier consultation and consumption to receive Establish a supplier ESH risk
(ESH training/emergency power conservation ISO 14064 verification matrix
Supplier on-site audit
response observation)
Fire safety system training and Establish digital services for
exercises suppliers' new factories
Sharing common supplier Establish an interactive course on
violations common shortcomings in ESH and
Consultation on reducing relevant improvement measures
energy and water consumption Carbon inventory integration
Continuous participation or Establish carbon inventory
observation of the emergency guidelines
response drills
Collaborate with the government
to guide suppliers in GHG and
carbon footprint inventory

2016 2019 2020 2021 2022 2023

87
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Promote Constant Upgrade of Local Percentage of Local Sourcing in Taiwan Percentage of Local Sourcing in China Percentage of Local Sourcing in the US
Supply Chains Unit: % Unit: % Unit: %

TSMC's main production site is located in Taiwan.


64 45
Its procurement can be divided into six categories: 62.5 41
62.1 92
60.4 92
equipment, spare parts, raw materials, facility 60 60 41 89
33
services, IT, and goods. Besides promoting 31 76 78
28
local sourcing in Taiwan, TSMC has also set up 26 76 77 76 76
30 30 75
independent procurement organizations for
25
TSMC subsidiaries, including TSMC (China), TSMC
15
(Nanjing), and WaferTech. We also assist local 18 45 45
46.4
suppliers to improve technology and quality and 45 44.5 14
43 31 31 31
reduce costs and carbon emissions to build a
1 2 16
highly effective and competitive semiconductor 15 14 12 12
industry chain. 2020 2021 2022 2023 2030 2020 2021 2022 2023 2030 2020 2021 2022 2023 2030
Indirect raw materials Spare parts Direct raw materials Indirect raw materials Direct raw materials Indirect raw materials
Spare parts Spare parts Equipment

Challenges and Solutions of Supply Chain Management Activities from Taiwan Fabs in 2022
Number of
Problems/Challenges Consultation Measures from TSMC Suppliers 2022 Performance

Technology and Part


● Percentage of imported high-level spare parts for several
● Assemble a team of experts to provide consultation
advanced processes is still too high, as local suppliers lack critical for local suppliers, offer technical support, and assist
in certification, ensuring that supplier technology 9 ● Developed parts for 156 advanced processes
technologies
developments are consistent with TSMC's business
● Parts of specific advanced machinery have to be sent abroad for needs
repair and maintenance, which affects production schedules

● New factories supplied a sufficient amount of raw materials upon


Capacity volume production, meeting TSMC quality requirements
● Production line expansion and process advancement 10 ● Assisted new suppliers to establish the Best Known Method (BKM)
● Capacity insufficient to meet advanced process requirements
for inferior quality improvement

Measurement Technology ● Zero returns of goods


● Add analytical instruments
● Measurement technology insufficient to meet advanced process ● Introduce advanced instruments
9 ● Assisted new suppliers to increase detection thresholds
requirements ● Assisted new suppliers to acquire capabilities for IC material analysis

88
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Promote Green and Low-carbon


Supply Chains
Continue to Reduce Environmental footprint and ISO 50001 energy management and communicate their carbon reduction claims. manufacturing end via approaches such as reducing
Impact certification. In 2023, TSMC will consolidate supplier carbon time span, extending use, replacing, and skipping
information-related inventories as the basis for stations. Meanwhile, the Company continues to
In response to the Net Zero by 2050 target, TSMC
In 2022, to manage the raw materials supply chain subsequent Net Zero actions. promote the Electronic-grade Chemicals Recycling
formed the Green Supply Chain Management
and identify carbon emission hotspots, a life cycle Program, indirectly decreasing carbon emissions and
Team and proposed specific action guidelines
assessment of raw materials' carbon footprint was ● Optimize for CO2 environmental impact during the upstream natural
based on the five major dimensions proposed by
conducted. The results indicated that chemicals, resource extraction process by using recycled chemicals
World Economic Forum's (WEF) Net-Zero Challenge: TSMC continues to bolster green manufacturing.
bulk gases, and silicon wafers were the main in factories. Furthermore, the Company continues to
The Supply Chain Opportunity guideline: Create By improving raw materials usage efficiency and
emission sources. Thus, TSMC required relevant optimize procurement strategies to minimize transport
Transparency, Optimize for CO2, Engage Suppliers, decreasing the amount of raw materials required
suppliers to stipulate rigorous short, medium, and carbon emissions in the supply chain.
Push Ecosystems, and Enable Your Organization. for wafer production, it is able to reduce the carbon
long-term energy conservation, carbon reduction,
Through this, TSMC continues to bolster the emissions in the supply chain. In 2022, TSMC lowered
and green energy consumption targets, as well as
the consumption of bulk chemicals with a significant
● Engage Suppliers
operational capability of a low-carbon supply chain.
continue to track implementation performance.
proportion of carbon emissions to save roughly In 2021, TSMC stipulated the Supplier Sustainability
The Company also required suppliers to obtain
● Create Transparency 178,000 tons of carbon emissions at the raw materials Standard, requiring suppliers to formulate their GHG
the carbon footprint of their upstream materials
In 2022, TSMC required 137 raw material and
equipment suppliers to participate in the CDP Five Approaches to Promote Low-carbon Supply Chains
Supply Chain Program and carried out an
organizational GHG inventory training and CDP
disclosure questionnaire briefing to improve
data quality. A total of 322 people participated Push Low- Establish Internal
in the training and 111 suppliers completed the Create Optimize for Engage
carbon Carbon Reduction
Transparency CO2 Suppliers
questionnaire. According to the survey results, Ecosystems Mechanisms
84% of the manufacturers have put their Board of
Directors in charge of supervising climate change
topics, 93% have conducted GHG inventory or Collaborate with suppliers Focus on lowering carbon Include carbon emissions in Participate in industry Establish an internal carbon
to improve the quality and emissions and continue to audits and collaborate with collaborations and initiatives reduction mechanism in the
estimation, 71% have set emission reduction transparency of carbon emissions optimize the Company's suppliers to minimize carbon on low-carbon topics Company and increase carbon
targets, and approximately 51% of suppliers have data in the supply chain manufacturing and procurement emissions reduction incentives
strategies
provided Scope 3 emissions data. Also, to improve
carbon emission data quality, TSMC has required
● Suppliers are required to ● Improve the usage efficiency ● Demand and guide suppliers ● Continue to promote the ● Form a green supply
suppliers with annual GHG emissions exceeding participate in the CDP Supply of chemicals with a significant to elevate their green Energy Conservation Action chain management team
2,500 tons to obtain third-party certification for Chain Program proportion of carbon performance through the Project for Next-generation responsible for supply chain
● Review production data emissions to decrease Supplier Sustainability Fab Tools carbon reduction-related
ISO 14064 GHG inventory since 2021. The Supplier consumption and facilitate the Standard affairs
with suppliers to ascertain ● Invite high-carbon emissions
Sustainability Standard is expected to be revised carbon emissions hotspots reduction of carbon emissions ● Promote green innovation suppliers to join the ● Create a systematic reward
in 2023, adding Scope 3 inventory request for and carbon reduction in the supply chain projects for the supply chain Renewable Energy Joint mechanism
suppliers, and requiring designated suppliers opportunities ● Promote local sourcing to Procurement Project
lower transport emissions
to obtain third-party ISO 14067 product carbon
89
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

reduction targets and reduce, track, document, and ● Push Low-carbon Ecosystems and Targets and Achievements of Suppliers' Efforts to Reduce Energy and Water
disclose their Scope 1 and Scope 2 emissions. In 2022, Establish Internal Carbon Reduction Consumption, Waste, and Carbon Emission
TSMC continued to require and assist suppliers to Mechanisms
improve their performance in making the supply chain Cumulative Total of Energy Cumulative Total of Water
TSMC is dedicated to creating a green semiconductor
greener. The annual total energy reduction reached Consumption Reduced Unit: 100 GWh Consumption Reduced Unit: 10,000 metric tons
supply chain. Besides working with suppliers to
190 GWh, and the accumulative total reached 530
advance the Energy Conservation Action Project for
GWh. The annual total water reduction reached 9.37 2.1 3.4 5.3 5.5 15 213 1,971 2,908 3,000 3,500
Next-generation Fab Tools, suppliers with high carbon
million metric tons, and the cumulative total reached
emissions were invited to join the renewable energy
29.08 million metric tons. Additionally, 65% of the high
joint procurement project in 2022 to assist suppliers to
energy consumption suppliers received ISO 14064
expand the source of green power procurement and
verification. TSMC also required suppliers to introduce
consolidate the upstream to form a low-carbon supply
energy conservation assessments when building
chain. In 2022, TSMC established a green supply chain
new factories. The waste production per unit among
management team to track and require suppliers to
major waste-producing suppliers was reduced by 34%,
implement carbon reduction actions. The team is also
exceeding the annual target of 32%.
responsible for integrating TSMC's internal and external
resources to help suppliers achieve their targets.
Additionally, TSMC also actively promotes green
Moreover, in response to TSMC's internal application of
innovation projects in the supply chain. In 2022,
ESG AWARD to motivate employees to constantly review
suppliers were engaged to launch the Supplier 2020 2021 2022 2023 2030 2020 2021 2022 2023 2030
carbon reduction opportunities and inspire green
Carbon Capture Program to help them build carbon
innovation, the Materials Management invited suppliers
capture equipment for rectification columns, and
to submit proposals together for the first time in 2022,
reintroduce the residual gas from the rectification
such as collaborating with suppliers to recycle packaging Waste Reduction Rate
process of industrial-grade liquid CO2 into the process Unit: % Percentage of ISO 14064 Verification Unit: %
materials or recycling and transforming calcium fluoride
for secondary purification. This is reproduced into
sludge into recycled products, as well as enhancing
electronic-grade liquid CO2 that conforms to TSMC's 29.4 31 34 35 42 0 51 65 70 100
carbon reduction awareness among TSMC personnel
quality standards. Up until December 2022, 800 metric
and the supply chain through a reward mechanism.
tons of CO2 have been captured successfully.

Supply Chain Carbon Emission Management Process

ISO 14064 Greenhouse Gas Emission Verification

CDP Supply Chain Program

ISO 14067 Product Carbon Footprint

ISO 50001 Energy Management System

2021 2022 2023 2050 2020 2021 2022 2023 2030 2020 2021 2022 2023 2030

90
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Case Study

Supplier Material Packaging White Paper Regulations Launched to Form


Optimal Packaging Supply Chain
To alleviate the environmental problems Implementation Results in 2022
caused by excessive packaging of raw
Improvement Cases Benefits
materials, TSMC and suppliers joined forces to
compile the first Supplier Material Packaging
Choose an appropriate packaging method according to
White Paper Regulations to promote reduction
the total weight of raw materials and place a warning label
100,000 times
Health and Add holes and role handles for
circulation in the three major dimensions of on the box to protect box handling personnel
Safety oversized or overweight boxes
Health and Safety, Environmental Protection, ● Mark the weight with a warning sign on the boxes to make it easy for personnel Improved comfort for
Transport-
and Packaging and Labeling Standardization friendly ● Provide lifting aid to move safely 100,000 times handling
to establish a Handling-friendly, Environment- ● Use chemical hazard labels and signs
friendly, and Automation-friendly packaging
culture. More than 1,200 domestic and foreign
raw materials suppliers were encouraged to
improve packaging operations, striving towards Reduce the use of composite materials and excessive
packaging with the 3R principle (reduce, reuse, and recycle)
the goal of optimal packaging in the supply
4.8 metric tons
Environmental Minimize the excessive use
● Volume minimization and optimization of film for stacked materials
chain. Protection
● Reduce auxiliary materials or unnecessary labels without compromising the Reduced waste generation by
Environment- protective performance
friendly ● Avoid packaging materials that contain heavy metals 4.8 metric tons
In 2022, TSMC took the initiative to provide
packaging samples for suppliers of similar
● Provide recyclable packaging material recommendations

materials through inventory checks, offering


improvement suggestions to elevate packaging
quality. Additionally, active promotion was Standardize packaging size, label, and content to improve
conducted through the Supply Online 360 platform
Packaging
work efficiency, reduce workload, and accelerate the
development of automated packaging
Replace disposable shipping
boxes with Hybox to facilitate
13,000 times
to encourage suppliers to implement packaging and Labeling Reduced manual handling
● List the packaging size of various materials the transport and putting-away
optimization from the manufacturing end to the Standardization
of silicon wafers with factory
logistics process. Please refer to Supplier Material Automation-
friendly


Develop radio frequency identification (RFID) systems
Define label format and placement
automation robots to optimize
work efficiency
117metric tons
Packaging White Paper Regulations for further Reduced weight handled
● Standardize wafer packaging and packing methods
details.

91
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

A Practitioner of Green Power


TSMC aspires to be a world-leading benchmark organization in environmental protection and actively integrates green management into daily operations.
The Company applies innovative technologies to climate and energy, water management, circular resources, and air pollution control, promoting a
comprehensive range of sustainable actions to strengthen environmental protection and act on the firm belief in prospering with the earth's ecosystem.

>10% 59% 28%


Renewable energy ratio of total power Unit air pollutant emissions reduced In-house resource recycling rate
consumption

Climate and Energy Water Stewardship Circular Resources Air Pollution Control

92
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Climate and Energy


Strategies 2030 Goals 2023 Targets 2022 Achievements

Strengthen Climate Resilience


Develop climate change response 0 day of production interruption due to climate 0 day of production interruption due to climate 0 day of production interruption due to climate
and measure to reduce the impact of disasters disasters disasters
climate risks Target: 0 days

Drive Low-carbon Manufacturing


Continue to use best available Reduce unit GHG emissions by 30% compared Reduce unit GHG emissions (metric ton of Reduce unit GHG emissions (metric ton of carbon
technology to reduce emissions of to the base year (metric ton of carbon dioxide carbon dioxide equivalent (MTCO2e)/12-inch dioxide equivalent (MTCO2e)/12-inch equivalent wafer
greenhouse gases (GHG) and become equivalent (MTCO2e)/12-inch equivalent wafer equivalent wafer mask layer) by 9% (Base year: mask layer) by 6%
an industry leader in low-carbon mask layer) by 30%, and restore GHG emissions to 2020) Target: 6% (Base year: 2020)
manufacturing the 2020 level (Base year: 2020 )

Use Renewable Energy


Continue to purchase renewable Starting from 3nm new fabs, renewable energy accounts Continue to use renewable energy to achieve Used 2,190 GWh of renewable energyNote1 and
energy and install solar-energy for more than 20% of energy consumption and the 12% of renewable energy in TSMC and overseas Renewable Energy Certificates (RECs); TSMC overseas
power systems to achieve target of purchasing of renewable energy increases annually to sites use 100% renewable energy sites used 100% renewable energy; accounting for
100% renewable energy use achieve 40% renewable energy company-wide 10.4% of TSMC's power consumption
Target: TSMC overseas sites used 100% renewable energy;
accounting for 10% of TSMC's power consumption

Increase Energy Efficiency


Plan and implement new energy- Cumulative energy-saving rate reached 18% 14% cumulative energy-saving rate 700 GWh energy saved, and cumulatively saved 3,100
saving measures each year to between 2016 and 2030 through new energy-saving GWh; cumulative energy-saving rate reached 13%
increase energy efficiency measuresNote 2 Target: 700 GWh; 3,100 GWh

Double energy efficiency after five years Increase energy efficiency of 5nm process Increase 5nm process technology energy efficiency
of volume production for each process technology 0.7 times higher in the 4th year of 0.6 times higher in the 3rd year of volume production
technology Note 3 volume production Target: increase 5nm process technology energy efficiency
0.4 times higher in the 3rd year of volume production

Note 1: Definition of renewable energy use: Purchased , self-generated renewable energy, and renewable energy certificates and carbon credits produced by renewable energy Exceeded Achieved Missed Target
Note 2: Absolute value of energy efficiency improvement targets are replaced with energy-saving rate to avoid value differences incurred by market fluctuation and changes in power
use. The rate is the cumulative energy-saving results since the base year 2016 93
Note 3: Energy efficiency is the product equivalent per kWh of power (12-inch equivalent wafer mask layer/kWh)
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

change, failure of climate-change adaptation,


Responding to climate change is a responsibility for of various carbon reduction actions, TSMC is guidelines to formulate its Biodiversity Statement.
natural disasters and extreme weather events,
sustainable business operation. As the world's largest also working on expanding the use of renewable Officially launched in 2023, the Statement strives
and biodiversity loss and ecosystem collapse;
provider of semiconductor technologies and capacity, energy and establishing a diverse supply. Since the to reach a balance between technology and the
it is clear that constantly improving climate risk
TSMC is committed to reaching Net Zero emissions Company included a small-scale hydroelectric station ecosystem to allow never-ending momentum for
response measures and enhancing corporate
by 2050 and has drawn Roadmap to Net Zero as one of the sources of power supply in 2022, its sustainability to realize GHG reduction targets.
operational resilience are pressing important
Emissions, targets include zero-growth and gradual yearly use of renewable energy reached 2,190 GWh,
tasks. TSMC successfully achieved uninterrupted
reduction in carbon emissions starting 2025, reduce and TSMC overseas sites reached net zero emissions Strengthen Climate Resilience
production target in 2022 by identifying potential
2020 carbon emission to 2010 levels, and reaching in Scope 1 and 2. TSMC established carbon credits
Global Risks Report 2023 published by World risks and opportunities through regular systematic
net zero emissions (with value chain included) by quality procurement standards for the first time in
Economic Forum (WEF) pointed out that climate- risk assessment identification and following the
2050.Among these, using 100% renewable energy 2022 and chose four carbon credits offset programs
related environmental issues are the most significant Company's Climate Risk Adaptation Standards to
is one of the key strategies in realizing net zero for carbon reduction, and referenced the UN's
four risks of the top ten global risks over the reduce potential climate disaster.
emissions. Other than the continuing improvements Convention on Biological Diversity and SDGs as
next decade, including failure to mitigate climate

Milestones of Responses to Climate Change

ESG Policy issued TSMC joins SBTi Note Set 2030 long-term sustainability goals Climate Change Statement revised, declaring the target
of Net Zero Emissions by 2050
Establish Carbon Trading Systems for Establish ESG Steering Committee led by Chairman
China Fabs TSMC joins Taiwan Climate Partnership
TSMC joins TCFD as supporter
Establish Internal Pricing & Impact TSMC joins Association of Taiwan Zero Emissions
Establish Carbon Credit Development Program
Evaluation
The BOD approves to link corporate executives'
TSMC receives Carbon Credits through TM002
compensation with ESG achievements
published by Taiwan EPA
TCFD Report issued
Carbon-neutral Natural Gas Program launched

2015 2016 2017 2018 2019 2020 2021 2022

Policies Environmental Policy issued Climate Change Statement issued TSMC joins RE100 Carbon Credits task force
Platform established carbon credits quality
Carbon Management Platform established TSMC joins Bureau of Industrial Development Net Zero Emission Program established
standards and procurement
Initiatives Voluntary GHG Emissions Reduction Platform
Energy Saving and Carbon Reduction Committee Green Bonds issued project
Systems established TSIA Energy Conservation Platform launched
All TSMC offices use 100% renewable Supply Chain Carbon Disclosure
Programs Renewable Energy Program established Green Equipment Certification Program launched energy Project (CDP) launched

Milestones Financial Impact Analysis of the Risks and Offices Achieve net zero GHG emissions TSMC overseas sites achieved net
Opportunities of Climate Change disclosed zero emissions in Scope 1 and 2
Climate Actions
Overseas sites begin using 100% renewable energy

Note: Science Based Targets Initiative (SBTi) is an international initiative organization that encourages companies to set a definite GHG emission reduction target that is in line with the Paris Agreement goals with a yearly reduction of 4.2 %. TSMC is committed to develop SBT reduction targets in 2017, and as
the global demand for chips drastically increased in recent years, the CAGR of TSMC's capacity exceeds 10%. However, as the supply from the renewable energy market in the Company's main manufacturing site was insufficient, reaching SBT requirements poses as a challenge in the short term. Taking
operational growth and development trends in carbon reduction into account, TSMC will put zero growth in emissions as main target, and assess to fulfill SBT requirements in mid and long-term targets 94
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

TSMC Climate Change Management FrameworkNote


Category Corporate Management Strategies and Actions 2022 Execution Summary
● The Board will regularly review risks and opportunities related to climate change ● The ESG Steering Committee is comprised of senior executives from various TSMC organizations and formulates long-
– ESG Steering Committee: TSMC's top organization in climate change management. Chaired by the term 2030 goals and development strategies for climate change and renewable energy. See ESG Management Platform
Chairman of TSMC with the chairperson of the ESG Committee serving as executive secretary. The for more information
Committee reviews TSMC's climate change strategies and goals every quarter and reports to the ● The executive secretary of the ESG Steering Committee delivered quarterly reports to the Board on sustainable
Board of Directors development strategies and achievements, including green manufacturing performance, renewable energy procurement,
– Energy Saving and Carbon Reduction Committee: The Energy Saving and Carbon Reduction and net zero emission targets and strategies
Committee is the Company's management organization for taking action on climate change risk ● The Energy Saving and Carbon Emission Reduction Committee defined five major energy conservation teams based on
Governance
and opportunity. It is chaired by the Vice President of Fab Operations. Every quarter, this Committee different process technologies to conserve more energy from production equipment and fab facilities. As an incentive
formulates management plans, reviews implementation status, and discusses future plans for the energy conservation teams, the Committee rewards team based on their achievement on energy conservation
– Risk Management Steering Council: The Risk Management Steering Council briefs the audit targets and innovative ideas
committee each year on the ever-changing risk environment facing TSMC, the focus of the ● The chairperson of the RM Steering Committee gave an annual report to the Audit and Risk Committee on water
Company's enterprise risk management, and risk assessment and mitigation efforts, including resources, energy risks, natural disasters, regulations, and other topics related to climate change; TSMC Risk
climate change issues Management Policy was published in 2022 to establish and strengthen risk management corporate culture

● Organize interdepartmental discussions and identify short, mid, and long-term climate risks ● Planned and carried out 684 energy saving measures across eight major categories, saving an additional 700 GWh.
and opportunities See Increase Energy Efficiency for more information
● See Climate Change Risk and Opportunity Matrix for more information on how response measures were formulated
and enforced according to interdepartmental Climate Change Risk and Opportunity Workshop's identification of
climate risks and opportunities

● Assess the potential financial and operational impact on TSMC from major climate risks and ● See TSMC TCFD Report for more information on how TSMC completed a qualitative assessment on the financial
Strategies opportunities impact of major climate risk and opportunities and implemented quantitative assessment on the financial impact of
major climate risk and opportunities

● Conduct scenario analysis and assess SBT(Science-Based Target) and net zero emission targets ● Based on the SSP1-1.9 scenario set forth by the Intergovernmental Panel on Climate Change (IPCC) AR6, TSMC analyzed
and actions climate risks in production and formulated climate change strategies and mitigation measures while also striving toward
net zero emission targets

● Use the TCFD framework to develop a process for identifying climate risks ● Evaluated the qualitative and quantitative financial impact of major climate-related risks/opportunities discussed in
the TCFD workshop by related departments

Risk
● Formulate response measures based on the risks/opportunities identified and prioritized ● Reported assessment results of the climate risks/opportunities and response plans to the ESG Committee Chairperson
Management
● Integrate climate risk identification and assessment in the Enterprise Risk Management (ERM) process ● See 6.3 Risk Management for more information

● Set management metrics related to climate change ● Established the following as climate change performance indicators: GHG emissions per unit product, amount
of renewable energy purchased, total electricity saved, improved production efficiency, and days of production
interruption due to climate disasters. See Climate and Energy Strategies, Goals, and Outcomes for more information

● Through ISO 14064 annual inventory and disclosure of greenhouse gas emissions, review the impact ● Based on carbon inventory and evaluation results, the consistent carbon reduction actions have effectively reduced
on the company's operations, and assess the risks of Scope 1, 2 and 3 and their mitigation strategies risks of Scope 1 emissions, the risks of Scope 2 indirect GHG emissions due to electricity consumption and the risks
Metrics and of Scope 3 due to supplier indirect emissions continue to increase. See more on Drive Low-carbon Manufacturing
Targets
● Set climate change management targets and review progress & performance ● Set climate change and energy management goals for 2030 in accordance with climate change performance
indicators for senior executives to regularly review implementation performance. See Climate and Energy Strategies,
Goals, and Outcomes and GHG Reduction Best Practices for more information

Note: TSMC's climate change management framework is presented in accordance with "Recommendations of the Task Force on Climate-related Financial Disclosures" 95
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Identify Climate Risks and Opportunities Climate and Energy Strategies, Goals, and Outcomes
TSMC holds the Climate Change Risk and Category Risks / Opportunities Key Response Measures
Opportunity Workshop once every two years to
identify and update climate risks and opportunities GHG restrictions and carbon taxes/carbon levy ● Set ambitious carbon reduction targets: commit to zero carbon emissions from global operations by 2050
based on the Recommendations of the Task Force Participate in renewable energy programs ● Assemble a renewable energy task force to work with related associations and government agencies to
on Climate-related Financial Disclosures (TCFD) accelerate the development of renewable energy and actively seek to purchase green energy
Participate in carbon trading markets
framework. In 2022, the Workshop identified 11
● Work with associations to propose suggestions to the government about building a carbon credit market

transition and physical climate risks and eight


Net zero emission ● Map out the company's net zero emissions roadmap, formulate net zero emission strategies, and enforce
opportunities. The top three climate risks were net related measures
Receive rewards from the public sector for
zero emissions, impact on company reputation, offsetting carbon reductions ● Continue carrying out GHG reduction actions and participate in government carbon offset programs for
and uncertainties in the development of new Develop low-carbon products and services; carbon reduction to earn carbon credits
energy saving/carbon reduction technologies, Increase energy efficiency in customer ● Implement long-term plans for purchasing carbon credits
products ● Continue investing in R&D resources to develop energy-saving products
and the top three opportunities were: develop
low-carbon products and services and increase
energy efficiency in customer products, drive Transitional EIA commitment ● Diversify water sources and start using reclaimed water
low carbon manufacturing, and boost company Risk Promote water efficiency and diversification ● Strengthen water resource management and apply for AWS (Alliance for Water Stewardship) certification
reputation. Apart from continuous improvements
on carbon reduction practices, TSMC also set up
Uncertainty in new energy saving/carbon ● Promote energy saving and carbon reduction actions and track facility outcomes every quarter through
carbon credit procurement strategies and started reduction technologies the Energy Saving and Carbon Emission Reduction Committee
implementations in 2022 to further enhance Improve plant energy efficiency ● Build green factories, obtain green building licenses, and share experiences with external parties
corporate climate resilience. See TSMC TCFD
Report for qualitative assessment methods and
Impact on Company reputation/ image ● Stick to green manufacturing and green innovation. Enhance the company's green reputation through
results on the financial impacts of each risk and
Enhance company reputation transparent disclosure
opportunity.

Floods (TSMC operations)


● Assess flood and drought risks at fabs and formulate and carry out risk mitigation measures
Floods (Supply chain)
● Ask suppliers to evaluate the flood and drought risks of their operational facilities and implement risk
Droughts (TSMC operations) reduction actions
Droughts (Supply chain) ● Establish a comprehensive water monitoring system and emergency response processes and hold
Increase resilience against natural regular drills
Physical disasters
Risk
Rising temperature ● Establish the Energy Conservation and Carbon Reduction Committee, led by senior executives to
Drive low carbon manufacturing reduce greenhouse gas emissions

96
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Drive Low-carbon Manufacturing


The annual GHG inventory result is TSMC's first step 14% compared to the previous year because of the certificates, implementing energy-saving projects for on low-carbon transition and their own carbon hot
to strengthening carbon reduction by reviewing continuous expansion of advanced process capacities, process tools and facility equipment, and increasing the spots, and helps them develop strategy and target on
overall carbon reduction efforts and revising the however, in terms of the capacity growth ratio, per unit use of renewable energy. In response to an increase carbon reduction. TSMC also shares with suppliers its
emission reduction strategies on a rolling basis. In product emissions saw a slight decrease compared to in carbon cost brought about by a global trend in low- Best Known Method to support them to implement
2022, the Company's total GHG emission was 18 the previous year, indicating TSMC's non-stop efforts carbon transition, starting from 2022, TSMC widely green actions together.
million MT CO2 equivalent; direct emissions from in improving low-carbon manufacturing actions that uses internal carbon pricing mechanism to reflect
Scope 1 processes such as F-GHGs and nitrous oxide effectively delivered GHG emissions reduction for per carbon cost in efficacy estimation for daily reduction TSMC Carbon Pricing Mechanism
processes accounted for 11%; indirect GHG emissions unit product. measures, and include this into decision-making
in Scope 2 from electricity use, which was still the major evaluation for new fab investments. As for emissions
emission source, accounted for 50%; and indirect GHG TSMC continues to enforce industry-leading best reduction actions in Scope 3, TSMC continues to focus
emissions from the value chain in Scope 3 accounted practices for GHG reduction, including ramping up on increasing material use efficiency and implement
for 39%, which were primarily from producing raw replacements and installing local scrubbers on the electronic grade chemical reuse. Starting from 2022, Internal Factors for Carbon Pricing
materials, energy related activities in the upstream, manufacturing end, using carbon-neutral natural TSMC invites suppliers to participate in the CDP Supply ● Carbon tax/fee
and transportation. Overall GHG emissions increased gases, constructing green factories with green building Chain Program to learn more about the global trend ● Regulation penalties
● Carbon reduction and green electricity costs
Roadmap to Net Zero Emissions ● Carbon market prices

2020 2025 2030 2050


Join RE100 Zero Emissions GHG Emissions Net Zero
Growth Back to 2020 Emissions
Level Carbon New Fab/Process
Management Assessment

2.005 2.152 2.019 Energy


7.460 8.152 9.540 Saving
5.511 6.049 7.502
0.004 0.242 0.616

Drive Low-carbon
Lower Carbon Costs Manufacturing;
2020 2021 2022 2023 2024 2025 2026 2027 2028 2029 2030 2040 2050 and Implement Develop Green
Continued expansion of advanced Renewable energy will gradually be Launch alternative programs to Relevant Products
process capacities will increase carbon used more by primary manufacturing reduce GHG emission from processes Policies
emission levels hubs, reducing emission levels and acquire carbon credits externally

Scope 1 (Mt CO2e) Scope 2 (Mt CO2e) Scope 3 (Mt CO2e) Carbon offset (Mt CO2e) Total emission

97
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

GHG Reduction Standard Practices

Scope I Scope II Scope III


Direct GHG Emissions Indirect GHG Emissions (From Purchased Energy) Indirect GHG Emissions (Value Chain)
Processes that use F-GHGs and nitrous oxide Energy usage Raw material production, energy-related activities upstream,
and transportation

Optimize gas quantity used in production Build green buildings


Substitute high global warming potential (GWP) Increase energy efficiency Supplier required to obtain external verification
fabrication gases Use energy-saving & low-carbon emission designs in Reduce carbon footprint from raw materials
Install Point-of-Use abatement equipment for F-GHG next-generation process tools Participate in CDP Supply Chain Program NEW
and nitrous oxide Purchase renewable energy Optimize delivery schedules
Use carbon-neutral natural gas

Introduce optimized process parameters in accordance TSMC led the global semiconductor industry with
100% with the manufacturing specifications by the Intelligent the largest LEED-certified building area; three High Energy Consumption SuppliersNote 2 must pass
Engineering Center 1 buildings received green building certification in 65% GHG emissions inventory and third-party verification;
2022, bringing TSMC's total to 40 LEED-certified 65% of suppliers have been verified.
Apply optimized carbon reduction technology ‒ remote
buildings and 28 EEWH certified buildings

100% plasma dissociation of nitrogen trifluoride (NF3) to all


12-inch fabs
Energy efficiency of advanced technologies led High Energy Consumption Suppliers were asked to
684 industry peers Note 1; carried out 684 energy-saving 97,000 set annual targets and implement real energy-saving
measures over 8 major categories and saved 700 actions; in 2022, TSMC suppliers conserved 190 GWh
100% Apply nitrogen trifluoride (NF3)/octafluorobutane (C4F8)
to 6-inch and 8-inch fabs
measures
GWh, equivalent of nearly 360,000 metric tons CO2e metric tons and reduced 97,000 metric tons CO2e

Install equipment with new F-GHG and nitrous oxide


3,900 reduction technologies
The world's only semiconductor company to launch
energy-saving programs for next-generation Invite material and equipment critical suppliersNote3 to
1 semiconductor fab tools; completed 195 energy- 81% disclose carbon reduction targets and progress with a
saving programs with an accumulation of 500 GWh response rate of 81%
Replace 91 existing tools with fluorinated gas processes; electricity saved
95% installation rate: 95%

In addition to using 100% renewable energy for global


28,000 Improved the delivery schedule for process tools
First in Taiwan to use carbon-neutral natural gas. The >10% offices, TSMC also purchased 2,190 GWh of renewable
energy around the whole world, accounting for 10.4% metric tons
and replaced air freight with ocean freight, reducing
28,000 metric tons CO2e
1 facilities in Taiwan have had zero carbon footprints
and TSMC has been able to reduce emissions by 0.28 total power consumption
million metric tons CO2e

Note 1: Figures from Joint Steering Committee (JSTC) report of the World Semiconductor Council
Note 2: High Energy Consumption Suppliers are suppliers that use >5 GWh/year in a single facility
Note 3: Definition of material and equipment critical suppliers: Suppliers accounting for the top 95% of material and equipment purchasing expenses 98
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Scope 1 GHG Emissions Scope 2 GHG Emissions Product Carbon Footprint


TSMC is committed to reducing carbon footprints the life cycle of TSMC's product carbon footprint
1,705,746 1,678,753 1,710,677 1,808,427 1,669,770 6,325,931 6,673,235 7,429,951 8,116,440 9,510,082 in raw material manufacturing and transportation covers from raw material excavation to shipment,
419,979 392,989 294,164 343,510 349,019 23,711 24,636 29,905 36,057 29,683 to product manufacturing, testing, and assembling through providing highly energy-efficient process
phases. In 2022, the Company obtained ISO 14067 technology, TSMC supported clients in increasing the
0.0138 third party certification, and conduct Product Carbon life span and function innovation of terminal smart
0.0133
0.0124 0.0122 Footprint Assessment every three years. Other devices applied in various fields, including developing
0.0117
than actively reducing greenhouse gas emissions in smart home, smart city, smart health care, smart
0.0045
0.0043
manufacturing processes and improving resource industry. This further helps save energy for the
efficiency, the Company also offers guidance for world, as every kWh of power used in production
0.0033
0.0031 suppliers to join its consistent endeavors in realizing can help save four kWh of power for other industries
0.0026
environmental sustainability. In addition, although worldwide and households.
2018 2019 2020 2021 2022 2018 2019 2020 2021 2022
Taiwan Fabs (Metric tons) Subsidaries (Metric tons) Taiwan Fabs (Metric tons) Subsidaries (Metric tons)
Emission Intensity tCO2e/12" wfr-layer Emission Intensity tCO2e/12" wfr-layer

Scope 3 GHG Emissions Unit: Metric Tons

3,003,466 3,446,030 3,788,115 4,395,879 5,604,013


1,244,524 1,790,596 1,637,711 1,549,426 1,715,328
35,423 36,758 53,178 70,073 70,230
1,880 3,054 2,119 3,117 3,914
1,300 661 1,064 647 741
25,775 27,028 28,916 29,907 33,526
3,129 2,901 383 208 1,406

2018 2019 2020 2021 2022


Purchased Goods and Fuel and Energy Related Waste generated in Upstream transportation
Services (ref. SimaPro Activities (ref. EPA carbon operations (ref. EPA carbon (ref. EPA carbon footprint
model) footprint database) footprint database) database)
Downstream Employee commuting Business travel (ref.
transportation (ref. EPA (ref. EPA carbon footprint Bousted model)
carbon footprint database) database)
TSMC is committed to optimizing the efficiency of energy and reducing greenhouse gas emissions
Note 1: GHG emissions data for Scope 1 and Scope 2 include TSMC fabs in Taiwan, TSMC (China), TSMC (Nanjing), WaferTech, and VisEra
Note 2: In order to maintain the data consistency of the 2020 follow-up greenhouse gas inventory and reduction targets, the Scope 1 inventory data has
been changed to 2019 Refinement to the 2006 IPCC Guidelines for National Greenhouse Gases Inventories since 2020
Note 3: Scope 3 GHG emissions only includes TSMC fabs in Taiwan
Note 4: Emission factor based on data released in 2022 by the Bureau of Energy stating that 0.509 kg of CO2e/ kWh, where 1 kg of CO2e equals 6,805 kJ. 99
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Use Renewable Energy TSMC Renewable Energy Development Timeline


Increasing the use of renewable energy is critical
Become Taiwan's biggest buyer In response to the Amendment to Electricity Act opening up the electricity market, a Committed to achieving 40% of the
to achieving the Company's goal of Net Zero of green energy upon first renewable energy team was established to evaluate renewable energy purchases company's electricity consumption as
Emissions by 2050. In 2022, with support from the purchase
Join the Taiwan Renewable Energy Certification Platform and become one of the first
renewable energy by 2030
board and management team, TSMC introduced semiconductor fabs to receive renewable energy certificates
renewable energy from small hydroelectric Three consecutive years as Taiwan's
stations, continued to increase power supply from biggest buyer Commit to 25% renewable energy for
fabs and 100% renewable energy for
onshore wind farms, increased renewable energy
non-fab facilities by 2030
usage by 47% to 970 GWh, and maintained 100%
use of renewable energy in global offices. TSMC
also signed a power purchase agreement (PPA) 2015 2016 2017 2018 2019 2020 2021 2022
for 1.3 GW of renewable energy with the option to Continued to be the Taiwan fabs sign long-term renewable Complete one of the first renewable Promote diversification of
increase around 3,900 GWh of green energy each largest purchaser of green energy contracts energy wheeling transactions in Taiwan renewable energy sources.
power in Taiwan New signing a small scale
year. By the end of 2022, the Company has signed Overseas sites start using 100% First semiconductor company to join
hydropower plant starting
renewable energy the RE100
on 2.9 GW of renewable energy through PPAs, from December
which can reduce around 4.6 million metric tons of Sign the world's largest PPA (920 MW)

carbon emissions each year.

In addition, TSMC has been purchasing renewable Renewable Energy Consumption and Ratio
energy, Renewable Energy Certificates (RECs), and
carbon credits in countries with comprehensive
40%
0 0 2.5 6.6 9.7 40%
regulations and ample supply to offset 100% of 8.8 9.2 9.8 10.1 12.2
carbon emissions from power used in overseas
Renewable energy used by all TSMC
locations, including the United States, Canada, operation sites by 2030
Europe, China, Japan, and Korea. 2022 marks the
fifth consecutive year that TSMC has achieved zero
carbon emissions from power consumption in
9.2% 10.4%
5 Consecutive Years
overseas subsidiaries. 7.0% 7.6% Zero carbon emissions from power
6.8%
consumption in overseas subsidiaries

100%
Renewable energy used in global offices

2018 2019 2020 2021 2022 2030


Taiwan (100 GWh) Overseas (100 GWh) Ratio(%)
100
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Renewable Energy Systems


In addition to purchasing renewable energy, TSMC expanding the scope of efforts toward sustainability
has also installed solar panels in TSMC facilities to and common good through TSMC Charity
produce carbon-free renewable energy for its own Foundation's Public Welfare Green Energy Project
fabs. In 2022, TSMC had an installed capacity of 5,340 to install photovoltaic (PV) systems and give back
kWp in solar panels, which provided 4.56 GWh in all earnings from power rebates to organizations
electricity and reduced carbon emissions by 2,322 serving disadvantaged communities. As of 2022, the
metric tons. In 2023, an additional 900 kW of solar Company has provided an installed capacity of 464
panel capacity will be installed, which can generate kWp across seven locations and generated 574,000
up to 4.6 GWh of electricity. While strengthening kWh in electricity. In 2022, it also gave back NT$2.75
its own climate resilience, the Company is also million from rebates generated by the project.

TSMC Renewable Energy Installed Capacity and Power Generation for In-house Use

1,426 3,129 3,129 3,129 3,129


954 954 1,392 1,623 1,623
572 572 572 572 572
0 16.5 16.5 16.5 16.5
0 0 0 0 464

4,879,001 4,561,540
4,628,288

3,704,733
2,923,716

2018 2019 2020 2021 2022 TSMC introduces power supply from Tainan Xikou Hydroelectric Power Plant to diversify renewable energy

Southern Taiwan Science Park (kWp) Central Taiwan Science Park (kWp) Hsinchu Science Park (kWp)
Overseas (kWp) Public Welfare Green Energy Project (kWp) TSMC-generated power for in-house use (kWh)

101
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Increase Energy Efficiency Energy Efficiency of Process Technologies


Semiconductor technologies are evolving rapidly for manufacturing tools and facility equipment,
Energy Efficiency
and increasing energy consumption. In 2022, TSMC TSMC also encourages employees to actively
consumed a total of 22,400 GWh in energy; with dedicate themselves to green innovation, awarding
purchased electricity accounting for around 94%, Energy Conservation Model Awards and Energy 1.6
natural gases for 5.8%, and diesel for 0.2%. TSMC is Conservation Innovation Awards to incentivize
dedicated to optimizing energy use efficiency. The employees to come up with and realize outstanding
1.2
Energy Saving and Carbon Reduction Committee ideas. In 2022, TSMC was able to conserve 700
1.0
has defined five major energy conservation teams GWh in electricity and successfully increase process
for different process technologies to explore energy efficiency for 5nm volume production to 60%
opportunities to save energy and maximize energy in the third year, exceeding the annual target.
conservation in tools. In addition to the full roll- Year 1 Year 2 Year 3
out of various energy conservation measures 5nm
Note 1: Standardized baseline for energy efficiency is the values taken
from the first year of volume production
Note 2: Figures from TSMC fabs in Taiwan, WaferTech, TSMC (China),
TSMC (Nanjing) and VisEra

Total Energy Consumption GHG Emissions from Manufacturing Unit Product Energy Consumption
Unit: 100 GWh Processes Unit: kWh/12-inch equivalent wafer mask layer
3%
11% N 2O
115.64 126.58 148.28 164.1 188.9 F-GHG
8.77 9.18 12.31 16.7 21.9
7.21 7.42 8.55 10.9 13.1
3%
CO2 + CH4
0.05 0.05 0.06 0.3 0.3
28.1 27.7
0.2% 26.7
5.8% Scope 1 25.9
9.7%

Scope 2

83% 22.3
84.3% CO2 from
Energy Use

2018 2019 2020 2021 2022 2018 2019 2020 2021 2022
Non-renewable Energy Renewable Energy Unit Product Energy Consumption
Natural Gases Diesel Oil Note1: Figures from TSMC fabs in Taiwan, WaferTech, TSMC (China),
TSMC (Nanjing) and VisEra
Note 1: 1 cubic meter of natural gas=10.5 kWh of electricity; 1 liter Diesel Fuel = 8,400(kcal) = 35.16(MJ); 1 kWh= 3,600 kilojoules
Note2: Diesel and natural gas aren't used for production and excluded TSMC retrofits the hot DI water circulation system of wafer cleaning
Note 2: Figures from TSMC fabs in Taiwan, WaferTech, TSMC (China), TSMC (Nanjing), and VisEra tools to expand energy saving benefits
from calculations here
Note 3: GHG emissions from fabrication processes include only direct emissions (Scope 1) and indirect emissions from using electricity (Scope 2)
Note 4: The total amount of renewable energy include solar energy, wind energy, thermal energy, and hydroelectric energy 102
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Expand Energy-saving Measures Five Major Energy Conservation Teams Continue to Innovate
In 2022, the five major energy conservation teams Taiwan facilities in energy conservation to generate Energy conservation for manufacturing tools Energy conservation for
continued to innovate various energy conservation more green benefits. Engineering Center for Green Manufacturing facility equipment Facility
programs, including the Hot Water Recycling System,
Intelligent Compressed Dry Air (CDA) Flow Control In 2022, TSMC implemented 684 energy
System, and Optimized AI-powered Water Chiller conservation measures across eight categories, Advanced
achieving a 13% energy saving rate and conserving Advanced 12-inch Backend
System. In addition, TSMC is deploying a variety of EUV Facility
Processes Wafer Fab and 8-inch
green action plans to improve energy and resource 700 GWh in electricity. Energy conserved in 2022 Team Team
R&D Team Team Wafer Fab
efficiency. To strengthen energy conservation and is the equivalent of reducing 360,000 metric tons Team
carbon emissions, TSMC founded the Engineering in carbon emissions and saving NT$1.75 billion in
Center for Green Manufacturing in 2022 to energy costs. The reduced carbon emissions also
decreased the potential social cost of carbon by Targets (incl. existing and future tools)
comprehensively assess, plan, and manage net zero
NT$530 million. As of 2022, the Energy Conservation 3nm/2nm 12-inch wafer fabs Backend fabs and EUV tools Shared facilities
emission strategies, energy conservation in modules
(incl. overseas fabs) 8-inch wafer fabs not used for
and tools, energy conservation in facility equipment, Action Project for Next-generation Fab Tools (incl. overseas fabs) production
and low-carbon technology R&D. Additionally, TSMC launched in 2018 has validated and applied 195
Mission
integrated energy-saving measures across facilities energy-conservation programs to hundreds type
for horizontal roll out, which will become standard of advanced process tools and conserved 500 ● Develop energy- ● Replace & upgrade ● Replace & upgrade ● Optimize programs ● Upgrade equipment
efficient equipment with low equipment with low ● Develop energy- with low energy
designs for new facilities. In 2022, overseas facilities - GWh in energy through cross-fab roll out of energy
components energy efficiency energy efficiency efficient efficiency
TSMC (China) and TSMC (Nanjing) - also joined conservation measures. ● Optimize programs ● Optimize programs ● Compile components ● Develop smart energy
● Compile tool specification for new conservation system
specification tools in backend for fab equipment
TSMC Cumulative Energy-saving Targets Unit: GWh fabs ● Compile specification
for new tools

7.3% 8.7% 10% 12% 13% 18% Achievements from Key Programs in 2022

9 12 17 24 31 Developed and Applied two major Developed and Worked with Developed and
applied the Hot strategies - Tool applied Intelligent suppliers to applied three
Water Recycling Parameter Compressed Dry optimize reflectivity innovations of
2,400 GWh
2016-2021
+ 7002022GWh = 3,100 GWh
2022
System for Wafer
Cleaning Tools
Optimization and
New Technology
Air (CDA) Flow
Control System
of EUV mirrors
and productivity
Optimized AI-
powered Water
for FOUP (Front Integration - to wet to advanced monitoring systems Chiller System,
Accumulated Additional Energy Accumulated 700 Gwh Opening Unified processes in 12- backend fabs to and technologies, reducing 1,000
Energy Saved Saved Energy Saved Increase Pod) at Fab 12B to inch wafer fabs and save 70% of CDA successfully metric tons of
recycle and reuse conserved 10.47 consumption, lowering carbon emissions
100% of hot water million metric tons reduce carbon the energy and saving 2 GWh
of pure water and emissions by consumption per of electricity
111.9 GWh of 4,473 tons, and wafer by 22%
electricity save 8.91 GWh of
electricity annually

2018 2019 2020 2021 2022 2030


Accumulated energy saved (as of 2022) Energy saving rate
Note: 1kWh= 3,600 kilojoules
103
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Energy Conservation Measures

● Smart lighting in non-cleanroom areas ● Reduce PCW and exhaust emissions from manufacturing processes
● Replace bulbs with LED lighting ● Optimize temperature settings for heating equipment
Lighting Energy Energy Usage
23 38.7 GWh 19,000 Savings Management 49 24 GWh 12,000
Measures Energy Saved Metric Tons CO2e Reduced All fabs All fabs Measures Energy Saved Metric Tons CO2e Reduced
Total

684
● AI Powered Chilled Water Energy Saving System
measures
● Replace with new high-efficiency, energy-saving pumps
● Replace cold water with PCW for cooling air compressors and chillers
throughout first- and second-stage compression Unit
A.C. Energy Replacement 191 95 GWh 48,300
4 41.58 GWh 21,000 Savings
All fabs (excl. Measures Energy Saved Metric Tons CO2e Reduced
Measures Energy Saved Metric Tons CO2e Reduced All fabs Fab 18)

Facility Manfacturing
Equipment Tools
● Use high-efficiency, energy-saving auxiliary equipment and
● Modify wet film plate for makeup air handling unit (MAU)
components for new equipment
● Energy-efficient fan blades for PCW towers
New Units Specs ● Optimize water usage and exhaust settings
Increased
12-inch fabs/
63 127 GWh 64,000 Performance
advanced 173 172 GWh 87,000
Measures Energy Saved Metric Tons CO2e Reduced All fabs backend Measures Energy Saved Metric Tons CO2e Reduced
fabs

● Energy-saving mode for Uninterrupted Power Supply System


● Cooling fan for battery cabinet to conserve energy ● Replace tool components with energy-saving components
● Use standby mode for Local Scrubbers Standby Energy Equipment
17 33 GWh 16,000 Savings Adjustments 164 170 GWh 86,000
All fabs All fabs Measures Energy Saved Metric Tons CO2e Reduced
Measures Energy Saved Metric Tons CO2e Reduced

Note: CO2e factor is 0.509 kg/kWh; 1kWh= 3,600 kilojoules

104
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Strengthen Biodiversity Protection


TSMC cares about environmental sustainability and Biodiversity Statement in 2022, which will be formally and local environments, analyzing three areas - around fabs and enforce environmental education.
actively works to strike a balance between technology declared in 2023. TSMC's Biodiversity Statement will location of facilities, emission of pollutants, and In addition, TSMC is working with stakeholders such
and ecology. To ensure sustainable ecosystems commit to working with stakeholders to protect and dependency on ecosystem services. For location of as the Forestry Bureau, county and city governments,
and maintain biodiversity, TSMC regularly assesses deepen the integrity of biodiversity and working with facilities, TSMC overlapped the Company's locations research and education institutes, and conservation
changes in ecosystems surrounding TSMC fabs, supply chain partners to raise awareness and comply in Taiwan with the Forestry's Bureau National groups to promote conservation programs based
cultivates indigenous species, created firefly habitats with related regulations within business locations, Ecological Network GIS database and included on conservation corridor assessment results and
within TSMC locations, and launched the TSMC fulfilling its mission of strengthening environmental resources consumed and emissions discharged deepen the diverse values of biodiversity.
Plant a Tree Program to facilitate stable population protection. throughout operations as assessment factors to
growth and reproduction for species. In addition to identify mitigation and restoration. Results showed For pollutant emissions, TSMC used qualitative
implementing eco-friendly practices, TSMC is also an In 2022 Q4, TSMC conducted a Dependencies & that there are no wildlife refuges or nature reserves analysis of Life Cycle Impact and identified
avid supporter of the UN Convention on Biological Impacts on Nature and Biodiversity Assessment for within two kilometers of TSMC locations in Taiwan, GHG emissions as the main cause of impact on
Diversity and SDGs. The Company formulated its own deeper insights into interactions between operations TSMC continues to maintain green ecosystems biodiversity, followed by water consumption. TSMC
is driving low-carbon manufacturing and enforcing
GHG reduction standard practices to effectively
Biodiversity Milestones at TSMC reduce GHG emissions per unit of production. The
Company also complies with AWS Standards to
Begin promoting green Cultivate native species at Successfully recover fireflies at Launch TSMC Plant a Tree enforce sustainable water management at all TSMC
building and spotlighting Fab15 P1 in Taichung Fab14 in Tainan Program fabs and improves surrounding ecosystems and
biodiversity concepts soil and water conservation to foster biodiversity.
Assemble ecological volunteer Receive the Environmental
teams Education Facilities Accreditation For dependency on ecosystem services, which was
from the Environmental
explored for the first time in 2022, TSMC established
Protection Administration
a dedicated internal unit and invited outside
experts to join in meetings and discussions and
2006 2008 2013 2017 2018 2020 2021 2022
also compiled a survey on supplier enforcement.
Build the first ecological pond Create the first close-to-nature Begin restoring fireflies Formulate the Declaration of Results showed that TSMC and its supply chain
and natural retaining wall at forest at Fab15 P5 in Taichung at fabs in Hsinchu and Biological Diversity are more dependent on three types of ecosystem
Fab12 P4 in Hsinchu Taichung services - water provision, climate regulation, and
Become the first Conduct the Dependencies and
semiconductor company in Impacts on Nature and flood prevention. TSMC will continue to strengthen
Taiwan to conduct a voluntary Biodiversity Assessment for
the climate resilience, promote green and low-
on-site ecological survey TSMC locations
carbon supply chains, and strengthen management
Plant a total of 122,000 trees
with TSMC Plant a Tree Program in compliance with the Climate Risk Adaptation
since the start of the program Standards to reduce dependency on ecosystem
services and mitigate impact on operations from
loss of ecosystem services.

105
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Case Study

Consistent Efforts to Optimize Energy Three Innovations of AI-powered


Efficiency in EUV Tools Save 60 GWh Water Chiller System Improve Energy-
Electricity per Year efficiency
TSMC has successfully applied EUV technologies for 3nm volume production, but energy TSMC is committed to driving green manufacturing and actively implements energy-efficiency
consumption rises with the growing number of EUV tools. To ensure developments in process innovations. The Company continues to optimize the energy-saving model of water chiller
technologies do not compromise environmental sustainability, the Company continues to optimize systems through machine learning methods and successfully develops three functions including
EUV tool parameters and reduce energy consumption per unit of wafer production through Single Chiller Compressor Abnormal Energy Consumption Detection, Multi-chiller Compressor
big data analysis. Additionally, TSMC engages suppliers for research to dive deep and uncover Operating Load Precision Forecast, and Water Chiller System Pressure Control Optimization
opportunities to conserve more energy. to strengthen operational reliability. TSMC introduced the three functions to Fab 15A in 2022,
saving 2 GWh of electricity and reducing 1,000 metric tons of carbon emissions. In 2023, all
Research has shown that EUV light is transmitted with the help of unique reflective mirrors, which, TSMC 12-inch wafer fabs in Taiwan will begin to adopt the three functions, which are also listed
after bouncing off several mirrors, result in severe energy attenuation. The multilayer mirror's as standard designs for new plants. Those initiatives are expected to save 100 GWh of electricity
flatness and smoothness determines EUV light reflectivity, so, to increase energy efficiency, TSMC per year and reduce carbon emissions by 50,000 metric tons, bringing TSMC closer to its 2050
has engaged suppliers to finetune mirror fabrication processes and optical structures to optimize sustainability goal of Net Zero Emissions.
flatness and smoothness and effectively improve reflectivity. EUV light is produced from laser pulses
firing 50,000 times per second at a drop of
tin. Vaporized tin often deposits on mirrors
to cause fog, which impacts productivity. The
Company then needs to inject hydrogen and
tin to produce tin hydride before pulling the
multilayer mirror out of the chamber. After
repeated testing with suppliers, TSMC was
able to pinpoint the optimal parameters
for the number of pumps required for tin
vapor removal, effectively reducing energy
consumed by tin vapor pumps. In 2022,
TSMC was able to successfully reduce energy
consumption per wafer from EUV tools by
22%, the equivalent of 60 GWh.
TSMC works with suppliers to optimize energy efficiency in EUV tools TSMC optimizes energy efficiency of water chiller systems through machine learning methods

106
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Case Study

Establish Carbon Credits Quality Procurement Standards to Achieve Carbon


Reduction and Sustainable Development
TSMC is committed to creating an environmentally energy and exhaust gas reduction facilities to maximize TSMC Carbon Credits Quality Standards for Voluntary Emissions Reductions
and socially responsible business model. To fulfill the emissions reduction. The remaining carbon emissions
Company's commitment of net zero emissions by 2050, are offset, in principle, with carbon credits. In 2022, Item Details Note
the Corporate Environment Safety Health Division, TSMC selected four carbon credit programs located
Finance, Accounting, Legal, Information Technology and in the U.S. and China that comply with the Company's ● The Verified Carbon Standard, VCS
Material Management, and Supply Chain Management Carbon Credits Quality Standards for Voluntary ● The Gold Standard, GS
Verification International
Units formed the Carbon Credit Task Force and Emissions Reductions. The programs are mainly nature- Standards
● American Carbon Registry, ACR
formulated the Carbon Credits Quality Standards for based carbon credits from projects that establish ● Climate Action Reserve, CAR
Issued by any of Mandatory
Voluntary Emissions Reductions in compliance with natural forests and improve forest management. Two of the right-side of
organizations or
international guidelines and industry practices to serve the natural forest establishment programs create jobs ● Taiwan Offset Project, TOP
standards
as purchasing guidelines. TSMC assessed carbon for local residents and foster skillsets in maintaining the Regional ● China Certificate Emission Reduction, CCER
credit verification standards, year issued, additionality, forest; 50% of the jobs created are offered to women to ● J-Credits
permanence, and risk management. Additionally, the facilitate gender equality. The remaining two programs
Company prioritizes programs that comply with UN improve forest management to uphold local biodiversity Year Issued Past five years ( ≦ 3 years preferred), starting from date the carbon credit is issued Mandatory
SDGs such as Climate, Community & Biodiversity (CCB) and help local conservation groups and indigenous
● Program is not required by regulation
and where credits are generated from the same region communities preserve traditional cultures and outdoor
● Financial benefits are not innate, but created from carbon credit earnings
where emission occurs for rigorous quality assurance education through earnings from carbon credits. Additionality Mandatory
● Technological programs unrelated to common technologies or related to
and to extend the scope of TSMC's sustainability impact. Through carbon credit trading, TSMC has been able to technologies with barriers in local areas
not only offset carbon emissions but also fulfill several
TSMC's overseas locations currently use 100% renewable SDGs to create diverse sustainability benefits. Permanence Measurable project durability Mandatory

Four Nature-based Carbon Credit Programs ● No double issuance ● Sound project ● Political stability of project
Risk of credits management location
Accreditation Project Location Year Sustainability Co-benefits Mandatory
Management ● Carbon leakage ● No negative media ● Transparent reporting of
● Conserve biodiversity locally (SDG 15) prevention coverage project details
● VCS 2405(CCB)
Afforestation ● Create jobs locally (SDG 1)
China 2018-2020
Biodiversity & Protect/Improve ecosystem, increase biodiversity, respect rights of locals/
● VCS 1855(CCB) ● Offer >50% of created jobs to women (SDG 5) Community indigenous peoples, and create social value (e.g., increase employment & local Priority
Impact health)
● ACR 592 ● Conserve biodiversity locally (SDG 15)
Improved U.S. 2019-2021 ● Preserve traditional cultures and outdoor Project
Forest Credits are generated from the same region where emission occurs Priority
education (SDG 4 & SDG 11) Location
● ACR 398 Manage-
ment

107
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Water Stewardship
Strategies 2030 Goals 2023 Targets 2022 Achievements

Manage Water Resource Risks


Enforce climate change mitigation Reduce unit water consumption by 30% (L/12- Reduce unit water consumption by 2.7% Reduced unit water consumption by 2.6% (Base
policies; implement water conservation inch equivalent wafer mask layer) (Base year: (L/12-inch equivalent wafer mask layer) (Base year: 2010) Note 1

and water shortage adaptation measures 2010) year: 2010) Target: 16%

Develop Diverse Water Sources


Develop water reclamation technologies; >60% replacement of water resources with 5% replacement of water resources with TSMC Tainan Science Park Reclaimed Water Plant
continue to practice water conservation reclaimed water reclaimed waterNote 2 started supplying water on September 19, 2022
and use reclaimed water during Target: TSMC Tainan Science Park Reclaimed Water Plant
manufacturing start of operations

Continue to collaborate with the government


to complete the second water reclamation
plant located in Anping, Tainan

Develop Preventive Measures


Improve the efficiency of water pollution Water pollution composite indicator reduction rate of Water pollution composite indicator Water pollution composite indicator reduction rate
control and removal of water pollutants >60% Note 3 reduction rate of 56% of 54.3%
Target: 45%

Note 1: In 2022, TSMC newly built Fab 18 Phases 6, 7 & 8. While not yet in operation (volume production level), the new facilities still consume water at a fixed rate, as such the Company failed to reach the 2022 target for unit
water consumption. Excluding the new facilities, the unit water consumption was 15.6% in 2022. In the future, facilities below a certain economic scale will be excluded from the calculation of unit water consumption
Note 2: (1) The source of reclaimed water include municipal drainage and industrial discharge (2) Replacement rate of reclaimed water = consumption volume of reclaimed water/(consumption volume of reclaimed water +
tap water) Exceeded Achieved Missed Target
Note 3: In 2022, TSMC was able to reduce the water pollution composite indicator by 54.3%, exceeding the 2022 target of 45% and long-term 2030 goal of 50%. As such, the 2030 goal was changed from 50% to over 60%

108
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

In 2022, Taiwan experienced zero typhoons while TSMC Water Consumption in Three Science Parks Drought Contingency Measures
weather fronts carrying moisture failed to cover
reservoirs in Taiwan, resulting in little rainfall in Water Signal
Government
Southern Taiwan. Based on the drought monitoring from the Water TSMC Response
Response
Resource Agency Measures
signals issued by the Water Resources Agency, TSMC (WRA)
Measures
adopted response measures in compliance with the Hsinchu Science Park
TSMC Internal Control Procedures for Low Water
● Monitor WRA
Supply Crisis Management to take stock of areas where Blue
Daily Supply to Science Park Stable supply reservoirs supply for
more water could be conserved and to strengthen and demand TSMC fabs
the water use efficiency of recycling systems. In 2022,
12.0% 569 thousand m3/day
Normal ● Host drills regularly
Water Consumption water levels
the Company conserved 3.35 million m3 of water and 3
68 thousand m /day
recycled a cumulative total of 215 million m3 of water
throughout the year.
● Drought Emergency
Response Team in
Green Farmers operation
While working to increase water reclamation efficiency, encouraged
to suspend
● Check water resources
TSMC also cares about getting the most use out of Central Taiwan Science Park and water truck capacity
farming
Fairly
every drop of water. As such, TSMC is actively developing severe
● Spontaneously save
water reclamation technologies. On September water by 5%
Daily Supply to Science Park
19, 2022, the TSMC Tainan Science Park Reclaimed 1,526 thousand m3/day
Water Plant―Taiwan's first privately-operated water
3.8% ● Reduce water
Water Consumption pressure at
reclamation plant―became operational and started
Yellow ● Reduce water
specific times
59 thousand m3/day consumption by 7%
recycling industrial wastewater produced in the ● Suspended
irrigation water
● Water truck drills
Southern Taiwan Science Park into reclaimed water First
stage in certain areas
for advanced semiconductor processes, a first for the
global semiconductor industry. TSMC also continues to
Southern Taiwan Science Park
work with the government and is planning to complete Orange Reduce water ● Activate water trucks
supply to ● Reduce water
the Tainan Anping Reclaimed Water Plant in 2023, industrial users
Daily Supply to Science Park consumption by
which is estimated to reclaim 35,000 m3 of water per Second by 5-20% 7-20%
day for TSMC facilities in the Southern Taiwan Science
13.6% 1,016 thousand m3/day stage
Park (STSP). TSMC's goal is to increase the supply Water Consumption
of reclaimed water to gradually reduce city water 138 thousand m3/day
● Activate water trucks
Red
consumption each year. The Company is also exploring Water rationing ● Reduce water
the concept of being water positive in the hope of by district consumption by
Third 7-20%
restoring water resources in the future and upholding
stage
sustainable water practices.
Resource: Water Resources Agency, Ministry of Economic Affairs

109
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Manage Water Resource Risks


Every year, TSMC evaluates the water risk levels of time. The reclaimed water was gradually supplied creek water quality. After improving the cooling conservation in areas surrounding TSMC facilities
all TSMC facilities using the Water Risk Atlas from to Fab 6, Fab 14, and Fab 18 to reduce city equipment of the reaction tank and reducing the are AWS implementation priorities. TSMC compiled
the World Resources Institute (WRI). Results from water consumption and achieve the preliminary batch reaction time, in June 2022, the hyfrofluoric the TSMC Firefly Habitat Management Process
2022 were identical to those of 2021: WaferTech target toward sustainable water balance. TSMC's (HF) acid waste regeneration system in Fab 15B to bring back fireflies and launched afforestation
was rated as low risk; TSMC facilities in Taiwan efforts toward good water quality include a achieved zero treatment outsourcing of the HF initiatives. In 2022, over 1,900 adult fireflies
and VisEra were rated as medium-to-low risk; and new tetramethylammonium hydroxide (TMAH) acid waste liquid. The hydrofluoric acid waste appeared across TSMC's three major factories. The
TSMC (China) and TSMC (Nanjing) were rated as treatment system in Fab 3 to effectively reduce regeneration system processed a cumulative Company also planted 108,000 trees and 320,000
high and medium-to-high risk, respectively, due to effluent concentration by 90%; 70% copper total of 4,193 metric tons of HF acid waste and shrubs, expanding afforested areas to 10.1
regional water quality differences. In 2022, TSMC concentration reduction from effluents discharged produced 1,025 metric tons of cryolite in 2022. In hectares to create a healthier water environment.
added Fab 18B into the water risk evaluation. by Advanced Backend Fab 3 to improve local addition, ecological restoration and soil and water
While building Fab 18B, TSMC preemptively
elevated foundations, installed floodgates, and
TSMC WRI Risk Identification
applied existing recycling systems and wastewater
treatment measures to the facility. By the time
Fab 18B became operational, it already possessed TSMC
Overall WRI Risk Index TSMC Assessment Results TSMC Response Measures
Assessment
outstanding flood protection and process water
recycling rate, which enabled it to maximize water
resources and mitigate environmental impact. ● Water risk

1 Facility
● Decreasing
underground water Low Risk
Effective Water Management with AWS levels
Flood Risk
● Elevate facility
Frequency ● Interannual variation Facility Design
In 2022, Fab 12A, Fab 12B, and Fab 5 at Hsinchu ● Install flood gates
● Seasonal variation
Science Park and Advanced Backend Fab 3 at Drought
16 Facility

Mid-to-low
Lungtan Science Park obtained Alliance for Water ● Flood risks Risk
● Tsunami risks
Stewardship (AWS) Platinum certification. All
Water Risk
advanced TSMC fabs in Taiwan's three science
● Coastal erosion
parks have obtained AWS Platinum certification (the
highest level available) for three consecutive years,
Quality
Control
● Untreated water
bodies
Mid-to-high
Risk 1 Facility ● Establish emergency
response procedures
Water ● Develop diverse water
a first for the global semiconductor industry.
Management sources
● Drinking water quality
Regulation
1 Facility
Water Quality ● Recycle and reuse water
Sustainable water stewardship at TSMC complies ● Sanitary conditions High Risk
and ● Sustainable Risk ● Set water pollution
with AWS standards. In 2022, the Company Reputation investment index composite indicator
introduced water reclaimed from industrial
wastewater to facilities at the STSP for the first

110
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Water Balance and Supply Chain Environmental Relationship

Water Source Facility Water Balance Water Discharge

AWS Five Achievements

Excellent Management Sustainable Water Good Water Quality Healthy Water Safe Drinking Water and
System Balance Environment Sanitation Environment

Diverse water
resource supply
Irrigation
● TSMC Water Domestic
Reclamation Plant Domestic
Water Wastewater
Rainfall in Southern Taiwan Air Scrubbing Biological Wastewater
Consumption
Science Park Recycled Rainwater in Cleanrooms Organic Treatment Treatment
● Water Reclamation System wastewater System Plant of the
Plants in Yongkang Reclaiming air-scrubbing
Recycle Park
and Anping, Tainan and reuse COD
water from cleanrooms
Decomposition
Introduce in 2022 AC
Condensate Waste
Water Water
liquid Rivers
Rivers allocation Ultrapure Water Tool
distribution
Water System Production
Reservoir
Plant Wastewater
Monitor Onsite Treatment
reservoir water 1 recycling System
levels Recycled
AC Water Ocean
Backwash Wastewater
2 Exhaust Recycling
Cooling Water Recycling Scrubber System
3 System Recycle
Reclaiming AC Tower and reuse wastewater produced
condensate
Smart Water
by central wet scrubbing towers Management
water Waste
Resource
Recycling
Water Stress Management Blueprint

1 Replace city water with refined recycling water 2 Reclaiming exhaust scrubbing water 3 Smart water pumping model to regulate peak water consumption

111
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Strengthen In-house Water Reclamation and Water Use Efficiency Water Saving Measures and Achievements in 2022
TSMC has created an internal Water Map platform to consumption of 137.3 liter per 12-inch equivalent
effectively manage and gain insights into water used wafer mask layer, a 2.6% reduction from the 140.9 Improve Water Production Rate of the System
in TSMC facilities. The Company also continues to liter per 12-inch equivalent wafer mask layer in
1,935,000 m3(57.7%) 123,000 m3(3.7%)
track reservoir water levels and install in-house water 2010, the base year. Nevertheless, TSMC failed to Improved usage efficiency of Increased the water production
quality and water level monitoring points to monitor reach the 2022 target because new facilities built in recycled water rate of RO recycling systems
water usage, recycled water, wastewater, and 2022 were still in risk production stages. Though the
Increase Wastewater Recycling by Facilities
domestic water consumption in processes according new facilities have not yet reached economic scale,
to the water balance chart. This forms the basis there was still a fixed amount of water consumption, 203,000 m3(6.1%) 107,000 m3(3.2%)
Reclaimed from central wet scrubber Recycled acidic wastewater
for integrating water consumption and recycle and which led to a lower water saving rate. Wastewater Additional water
reuse mechanisms to calculate recycling/discharge discharge was 93.0 liter per 12-inch equivalent wafer conservation 121,000 m3(3.6%) 24,000 m3(0.7%)

3.35
rates and allocate water to units that use water. In mask layer, an 18% increase from last year. The Reclaimed organic wastewater Reclaimed acidic wastewater

2022, TSMC continued to implement four major increase was also caused by the water consumption
million m3 Decrease Water Reduce Facility System
water saving measures: reducing facility system for risk production in new facilities. Before the new Discharge Loss from Water Consumption
water consumption, increasing the wastewater facilities reach economic scale in production, TSMC the System 2,000 m3(0.1%)
recycling of facilities, improving the water production will continue to optimize operating parameters 832,000 m3(24.9%) Recycled TMAH-containing
rate of the system, and decreasing water discharge for water recycling systems, increase water use Recycled from MAU Drain water
loss from the system. The Company conserved 3.35 efficiency, and reduce wastewater discharge.
million m3 of water and yielded a wafer unit water
City Water Consumption and Water
Annual Water Conservation Water Recycling and Usage Efficiency Consumption per Wafer-layer Wastewater Discharge per Unit

465 793 985 1,233 1,233 129.0 133.6 173.0 186.3 215.7 51.0 58.0 70.6 76.1 96.8 33.70 38.67 46.69 49.20 64.00
335 5.7 6.3 6.7 6.7 7.8 4.13 4.44 5.12 5.85 6.92

87.5 137.3 93.0


133.4 89.5
128.4
86.7
86.5 86.1
119.9
85.7 78.9
71.2
85.4 105.9

2018 2019 2020 2021 2022 2018 2019 2020 2021 2022 2018 2019 2020 2021 2022 2018 2019 2020 2021 2022
Annual cumulative water conserved (10,000 m3) Total amount of water recycling (million m3) Total city water consumption of Taiwan fabs (million m3) TSMC wastewater (million m3)
Additional water conserved in 2022 (10,000 m3) Average process water recycling rate (%) City water consumption of subsidiary (million m3) Subsidiary wastewater (million m3)
Water consumption per wafer-layer (Liter/12-inch Wafer mask unit wastewater (Liter/12-inch equivalent
equivalent wafer mask layer) wafer mask layer)
Note: Figures from TSMC fabs in Taiwan, WaferTech, TSMC (China), TSMC Note: Figures from TSMC facilities in Taiwan, WaferTech,
(Nanjing) and VisEra TSMC(China), TSMC(Nanjing) and VisEra 112
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Product Water Footprint Develop Diverse Water Sources


TSMC is committed to reducing product water 2021 product water footprint survey, TSMC facilities The year 2022 marked the start of water reclamation completed and enter into operation in 2023. In 2026,
footprint in various stages, including raw material consume 79% of water (mainly from direct water at TSMC. To get the most out of every drop of water, the water supply capacity of the Tainan Science Park
manufacturing and transportation, product consumption by facilities) and raw material suppliers TSMC is actively conserving water from production Reclaimed Water Plant will reach up to 36,000 m3 per
manufacturing, testing, and packaging, etc. The consume the remaining 21% of water (mainly processes while also developing water reclamation day, reducing city water consumption and contributing
water footprint of products is assessed every three chemical, silicon wafer, and bulk gas suppliers). technologies. To ensure water quality complies with positively to the surrounding environment. TSMC is also
years. In 2022, the Company obtained third-party In terms of water quality indicators, TSMC and advanced process specifications and their demands designing innovative systems to reclaim concentrated
ISO 14046 certification. According to the Company's raw material suppliers account for 47% and 53%, for cleanliness, TSMC works with the government, wastewater and make waste sludge reusable through
respectively. Water pollutants are mainly suspended industry, and academia to develop low-energy waste heat, thereby reducing the discharge of high-
TSMC Product Water Footprint Distribution solids, metals, and chemical oxygen demand (COD). consumption biological treatment, low-energy concentration wastewater.
– Water Consumption Indicator In addition to actively reducing water consumption consumption sludge treatment, high-efficiency urea
from production processes and discharge of removal process, and other innovative technologies. In 2022, TSMC completed the TSMC Tainan Science
water pollutants, TSMC also helps suppliers to set The Company also establishes multi-layered, real- Park Reclaimed Water Plant and also launched the
Raw material Hsinchu Science Park Reclaimed Water Plant project
water conservation targets with the Sustainability time, and automatic monitoring systems to ensure
extraction and
Management Self-Assessment Questionnaire. the quality of reclaimed water supplies. In September to expand the use of reclaimed water. The Hsinchu
manufacturing
stage All suppliers are required to adhere to the TSMC 2022, the TSMC Tainan Science Park Reclaimed Water Science Park Reclaimed Water Plant is expected to
21%
Supplier Code of Conduct to manage water use Plant entered into operation as a center for processing, supply 10,000 m3 of water per day in 2025. With the
and discharge, while identifying opportunities for monitoring, and supplying TSMC facilities in the STSP. Hsinchu Science Park Reclaimed Water Plant and
water saving and implementing measures. For more As of December 2022, the Reclaimed Water Plant has reclaimed water provided by the city government,
79% new fabs in the Hsinchu Science Park will use 100%
details, please refer to Sustainable Supply Chain in supplied 380,000 m3 of water reclaimed from industrial
Wafer reclaimed water, strengthening TSMC's operational
this report. wastewater. To develop diverse water resources, the
fabrication
Water Reclamation Plant in Anping, Tainan, will be resiliency and fulfilling the commitment to achieve
stage at TSMC
sustainable water cycle management.
Reclaimed Water Supply Schedule

TSMC Product Water Footprint Launched the water Built the TSMC Tainan Science Complete the water Estimated supply of 10,000 Achieve >60%
reclamation R&D project Park Reclaimed Water Plant reclamation plant in m3 of water per day from the replacement rate of
Distribution – Water Quality Indicator Anping, Tainan, with Hsinchu Science Park Water water with reclaimed
an estimated supply Reclamation Plant water
of 10,000 m3 of water
Raw material
extraction and
2015 2016 2020 2022 2023 2025 2026 2030
manufacturing
stage
Built a pilot water reclamation February Launched the Hsinchu Science Park Reclaimed Estimated supply of 36,000
plant and conduct wastewater Water Plant project m3 of water per day from
47% 53%
testing the TSMC Tainan Science
July Conducted system testing at the TSMC Tainan
Park Reclaimed Water Plant
Science Park Reclaimed Water Plant
Wafer September Completed the TSMC Tainan Science Park
fabrication Reclaimed Water Plant with a supply of 380,000 m3
stage at TSMC of water by the end of December
113
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Develop Preventive Measures Wastewater Classification and Resource System


TSMC continues to advance the performance of out this technology in 2023. Due to the increased
water pollution control and treatment processes. use of cobalt sulfate, cobalt sulfate treatment
As advanced processes have evolved and systems have also been expanded, which have
Wastewater Discharge to On- Rivers Reused by
increased the use of organic chemicals, TSMC helped to achieve a 54.3% water pollution Tap Processing Classification and site Wastewater and the Other
has introduced a membrane bioreactor system composite indicator reduction rate, far exceeding Water Equipment Resource System Treatment Facilities Environment Industries
to strengthen water pollutant removal. In 2022, the 2022 target of 45% and 2030 goal of 50%. As
average COD and TMAH concentration levels were such, the reduction rate goal for 2030 has been 13 Types of
reduced to 151.5 ppm and 3.75 ppm, respectively. raised to over 60% to achieve environmental
38 Different Types 9 Recycling 8 Products
of Liquid Classified Systems Wastewater Recycled
Fab 15B introduced rotating packed bed sustainability. Treatment
technology in 2022 to further reduce COD levels. ● Chemical coagulation
● HF acid wastewater (2 types)
Lab test results showed that 70% of COD levels in Wastewater Classification and Resource ● Ozone-containing HF acid
● Hydrofluoric (HF) acid treatment of HF acid ● Calcium
Hydrofluoric wastewater ● HF (including ammonia) fluoride
water can be reduced. TSMC plans to officially roll System (HF) Acid wastewater
● Local scrubber treatment ● Fluorite
Wastewater ● Manufacturing process
To classify and treat wastewater, TSMC has scrubbing water wastewater recycling ● Biological treatment of HF Cryolite
acid wastewater
Water Pollution Composite Indicator developed 38 separation systems based on the
composition and concentration of wastewater ● Deionized water
Reduction Rates Unit: % ● Ozone-containing deionized
● Acidic wastewater ● Acidic and Caustic
for treatment, recycling, and reuse. In the third recycling wastewater treatment
water
● Ozone-containing acidic ● NH4-N wastewater
quarter of 2022, Fab 15B successfully eliminated Acidic,
● Acidic wastewater (2 types)
wastewater recycling
36.8 43.8 42.4 42.5 54.3 treatment and recycling
● Ozone-containing acidic ● Ammonium
HF acid waste outsourcing by optimizing the Caustic, ● Caustic wastewater
and Organic wastewater ● TMAH liquid waste sulfate
hydrofluoric acid waste regeneration system, ● Caustic wastewater recycling recycling
Wastewater ● TMAH
● Ozone-containing Caustic ● Caustic wastewater
further perfecting the renewable technologies ● Biological treatment
wastewater upcycling system for organic
of local circular economy industries. TSMC also ● TMAH wastewater ● Organic wastewater wastewater
performed sludge tests, a byproduct of the ● Organic wastewater (2 types) recycling
chemical mechanical polishing process, at the
● Electroplating wastewater ● Chemical coagulation ● CMP wastewater treatment
Zero Waste Manufacturing Center, turning sludge ● CMP wastewater (2 types)
CMP recycling of CMP ● Copper-containing CMP ● Silicon
into aluminum silicon oxide through dehydration, Wastewater
● Copper-containing CMP wastewater wastewater treatment aluminum
wastewater
grinding, and surface modification. The aluminum ● Cobalt-containing CMP ● Copper-containing CMP ● Cobalt-containing CMP oxide
silicon oxide can then be used as plastic fillers for wastewater wastewater upcycling wastewater treatment

factory use. ● Waste-H2SO4 reclamation


2018 2019 2020 2021 2022 ● Other wastewater (16 ● Copper-containing
High- types)
Note: Figures from TSMC fabs in Taiwan electroplating wastewater ● Sulfuric acid
concentration
Liquid Waste
● Waste sulfuric acid recycling ● Copper bar
● Waste copper sulfate ● Cobalt-containing ● Cobalt bar
● Cobalt-containing liquid electroplating wastewater
recycling

114
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Case Study

Innovative HOCI Conversion System Reduces Chemical


Consumption and Carbon Emissions
As semiconductor technologies continue to evolve, the ratio, it became possible to convert NaOCl to HOCl stably,
consumption of sodium hypochlorite (NaOCI) increases reducing NaOCI consumption without compromising
to treat pollutants in wastewater. To practice green disinfection and ammonia nitrogen removal. In 2022, the
manufacturing, reduce environmental impacts, and HOCI conversion system was introduced to Fab 15B for
improve the water quality of effluents, TSMC launched pilot run. It is estimated that annual NaOCI consumption
the NaOCI reduction project in 2022. Between pH 5.5 and carbon emissions can be reduced by 80 metric
and 6.5, NaOCl turns into hypochlorous acid (HOCl), a tons and 10 metric tons, respectively. The Company will
strong oxidizing agent approximately 80 times stronger continue to roll out the system in other facilities as it
than NaOCl. TSMC capitalized on HOCI's strong oxidizing is friendlier for the environment and can increase the
properties by adding recycled sulfuric acid to NaOCl with reuse value of waste liquids, promoting environmental
deionized water (DI water). After optimizing the mixing sustainability through green innovation.

HOCI Conversion System

New
approach
Recycled sulfuric
acid tank

TSMC Wastewater
HOCI
HOCI wastewater treatment
NaOCI tank tool treatment plant of the
tank Park

DI water

Normal
approach NaOCI

TSMC innovates HOCI Conversion System to reduce chemical consumption


115
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Circular Resources
Strategies 2030 Goals 2023 Targets 2022 Achievements

Promote Source Reduction


Promote waste reduction Outsourced unit waste disposal per wafer ≦ 0.50 Outsourced unit waste disposal per wafer ≦ Outsourced unit waste disposal per wafer ≦ 0.99 (kg/12-inch
by source separation and (kg/12-inch equivalent wafer mask layer) 0.98 (kg/12-inch equivalent wafer mask layer) equivalent wafer mask layer)
require vendors to provide Target: ≦ 0.99
low chemical consumption
equipment

Enhance Circular Economy


Collaborate with vendors to Develop multiple types of electronic-grade In-house resource recycling rate ≧ 28% In-house resource recycling rate: 28%
develop new waste recycling chemicals for resource recycling within TSMC Target: ≧ 23%
technology to increase the
amount of waste recycled and Reduce CO2 emissions from waste treatment to Promote 3 projects to reuse instead of Reused instead of incinerating 125 metric tons of organic sludge and
reused 2020 emission levels Note1 incinerate reduced carbon emissions by 92 metric tons Note2

Strengthen Audit and


Guidance
Enhance vendor capabilities All waste treatment vendors shall acquire ISO 14001 or 86% of waste treatment vendors shall 84% of waste treatment vendors have acquired ISO 14001 or
in self-management and other international EHS Management certification Note 3 acquire ISO 14001 or other international EHS other international EHS Management certifications
implementing resource Management certifications Target: 84%
recycling through audits,
All waste treatment vendors shall finish building 20% of waste treatment vendors shall finish 9% of waste treatment vendors have finished building the
guidance, and tracking with
the System of Waste Intelligent Fast Track building the System of Waste Intelligent Fast System of Waste Intelligent Fast Track (S.W.I.F.T.)
applied technologies
(S.W.I.F.T.) Note 4 Track (S.W.I.F.T.)
Increase percentage of Excellent & Good waste Increase percentage of Excellent & Good waste
treatment vendor evaluation results to 90% NEW treatment vendor evaluation results to 82% NEW

Exceeded Achieved Missed Target


Note 1: Carbon emission figures from TSMC fabs in Taiwan
Note 2: Derived from the general waste (incineration) coefficient (0.737 kg of CO2e/ kg). Source: DATA.GOV.TW (STSP Recycling Center)
Note 3: TSMC requires waste treatment vendors to at least acquire ISO 14001 or ISO 45001 certifications as the basis for standardized management. Waste treatment vendors include waste treatment
and recycling vendors. Government-owned enterprises, public-to-private enterprises, items exempted from online reporting, and timber waste and lubricant waste vendors not included in the
aforementioned vendors 116
Note 4: Only includes TSMC-certified waste treatment vendors that have been working with TSMC for three years
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

TSMC is a committed advocate of the circular consumed and more waste is produced. As such, vendors to deploy renewable technologies that can 2022, TSMC also organized communication sessions
economy and upholds the management principles TSMC strives to achieve resource sustainability successfully produce green energy from organic for 55 vendors and updated the Company's five
of "minimizing waste, maximizing resource recycling, through three major strategies: source reduction, sludge and reuse activated carbon waste in-house to critical checkpoints. By 2030, S.W.I.F.T. is expected
and optimizing vendor management" in its efforts circular economy, and audit and guidance. In create a circular system. In addition, to strengthen to be deployed at all waste treatment vendors as
to develop a comprehensive waste management 2022, the Company's waste recycling rate reached sustainable practices in our vendors, TSMC is actively everyone joins hands to create a better environment.
system. As the Company continues to develop 96%, and the landfill rate was less than 1% for promoting the System of Waste Intelligent Fast Track
advanced processes, more raw materials are 13 consecutive years. TSMC is also working with (S.W.I.F.T.) to help transform and upgrade vendors. In

TSMC Waste Life Cycle Management Procedure 2.0

TSMC Vendors Other Industries


Used Resource Output Outsourced Waste Treatment Reuse

72% 67%
Waste Resources Recylced Products Waste Resources Recycled and
Remade Into Over 30 Types
Raw In-house Reuse in
Material Manufacturing
10% Processes
4% of Products for Circular Use in
Processes Sold as Products Incineration/Landfill Other Industries

18% 1% Raw materials for other industries


Reuse in fabs like optoelectronic semiconductor,
Alternative Energy
chemical industries, etc.

In-house Treatment Recycled


Waste Waste Recycled
and Reuse Product
Management Clean-up Product Flow
Operation Transport

● Source seperation and ● New vendor selection ● TSMC GPS satellite fleet ● Electronics-Grade ● ISO 14001 ● Cloud reporting ● Monthly tracking report
collection procesure procedure System Chemicals certification plan platform ● Cloud reporting platform
● Waste output tracking and ● Annual evaluation ● Automated Waste ● Industry Cooperation ● System of Waste ● Track & compare with declared information
in-house reduction project standards Disposal Declaration to Co-Create Resources Intelligent Fast Track
● Resource recycling ● Waste management Platform Project (S.W.I.F.T.)
equipment practice forum ● Operations ● Annual audit plan
● Zero-Waste Manufacturing ● Waste treatment vendor Enhancement Project ● Quarterly audit plan
Center management project

117
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Outsourced Waste Unit: Metric tons/year Outsourced General Waste Note 2 Unit: Metric tons/year

96% 377,767 395,480 550,275 645,832 704,918 208,340 212,465 269,640 326,069 331,499
Recycled Waste 937,875 Metric Tons 16,017 21,235 25,466 28,871 39,101 5,501 7,119 7,700 9,011 11,305

Recycled Materials(67%)
287,806 Metric Tons 367,599 Metric Tons
General Waste Hazardous Waste
2018 2019 2020 2021 2022 2018 2019 2020 2021 2022
Reused in Fabs(18%) Taiwan Fabs Subsidiaries Taiwan Fabs Subsidiaries
0 Metric Tons 171,525 Metric Tons
Total Waste General Waste Hazardous Waste
Production Note 1 Outsourced Hazardous Waste Unit: Metric tons/year In-house Recycled Resources Unit: Metric tons/year
in 2021 Converted to Products and Sold(10%)
973,853 68,115 Metric Tons 29,295 Metric Tons 169,427 183,015 280,635 319,763 373,419 95,989 112,829 155,575 182,761 268,935

Metric Tons General Waste Hazardous Waste 10,516 14,116 17,765 19,860 27,796 0 0 1,314 2,325 3,692

Recycled Energy (Auxiliary Fuel)(1%)


12,792 Metric Tons 743 Metric Tons
General Waste Hazardous Waste
2018 2019 2020 2021 2022 2018 2019 2020 2021 2022

4%
Taiwan Fabs Subsidiaries Taiwan Fabs Subsidiaries

Non-recyclable 35,978 Metric Tons Waste Recycling Rate Percentage of Waste Sent to Landfills
Unit: % Unit: %
Waste

Incineration(4%) 95 96 95 95 96 0.2 0.3 0.2 0.2 0.1


30,259 Metric Tons 5,077 Metric Tons 83 74 77 85 92 12.6 11.2 11.1 6.5 2.5
General Waste Hazardous Waste

Landfill(<1%)
642 Metric Tons 0 Metric Tons
General Waste Hazardous Waste
2018 2019 2020 2021 2022 2018 2019 2020 2021 2022
Taiwan Fabs Subsidiaries Taiwan Fabs Subsidiaries
Note 1: Figures from TSMC fabs in Taiwan and the data is compiled based on waste disposal declaration data and the processing capacity of in-house resource recycling equipment
Note 2: Outsourced recycling total solid waste in Taiwan facilities are 114,492 metric tons/year, and subsidiaries are 6,195 metric tons/year. Outsourced non-recyclable total solid waste in Taiwan facilities are 14,247 metric tons/year, and subsidiaries are 2,782 metric tons/year

118
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Outsourced Unit Waste Disposal per


Wafer Trend
Promote Source Reduction Unit: Kg/12-inch equivalent wafer mask layer

TSMC is actively practicing green manufacturing outcomes of waste reduction measures. In 2022, organizations worked together to improve post-
and implementing source reduction strategies TSMC was able to reduce waste by over 20,000 wet-etching cleaning steps by increasing acid
to minimize waste. The interdepartmental Waste metric tons through 129 projects that reduced valve speeds for sulfuric peroxide mixture (SPM) 1.01 0.99 0.99
Management Task Force utilizes the Plan-Do- chemical use time and quantity, adopted new cleaning processes by 54%. In 2022, sulfuric acid
Check-Act (PDCA) management cycle to set chemicals, and extended use cycles. waste produced from cleaning each 12" wafer
0.89
waste reduction goals and improvement plans at was successfully reduced by 20% without
the beginning of each year. The Task Force also Wafer cleaning processes and their cleanliness compromising quality. In 2023, this process will be
leverages the Unit Waste Production Management levels are important factors impacting yield. The deployed to all facilities, which is expected to help 0.79

System to directly manage and control waste higher yield demands of advanced processes reduce sulfuric acid waste liquid by 30,000 metric
production and reduction. Waste management have increased the use of sulfuric acids (cleaning tons in 2024.
units convene monthly to track the progress and solvent). Process, equipment, and facility
2018 2019 2020 2021 2022

TSMC Waste Reduction Management Mechanism Waste Reduction Measures and Results in 2022

Manufacturing Equipment In-house Recycling


Waste Management Task Force + Unit Waste Production Management System
Waste Reduction Equipment
> 20,000 metric tons >260,000 metric tons
>280,000
Chemical use time/flow
reduction
60 cases Copper-containing/
cobalt-containing liquid 2 cases metric tons
waste electrolysis Reduced waste
Process simplification
28 cases
Plan Execute Audit React
solution Ammonium sulfate
waste crystalization 1 case
Life cucle extension of
chemicals 24 cases + Silicon-containing =
Data Inventory Experiments Control and Continuous liquid waste filter press 1 case
dehydration
10 cases
135
and Planning and Operation Measurement Improvement Chemical alternatives
Conduct cross-fab Conduct experiments Control raw material Review gap between
and cross category and trials on reduction usage, waste output and current performance and
Sulfuric acid liquid
waste reclamation 1 case
comparison analysis plan including adjusting measure concentration target to continuously
Maintainance schedle
extnsion 4 cases Hydrofluoric acid waste
Introduced waste
reduction measures
on waste to plan for
reduction projects and
manufacturing process
parameters
to meet target improve deficiencies and
solve anomalies regeneration 1 case
annual goals Others 3 cases

119
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Enhance Circular Economy


Case Study In addition to source reduction, TSMC is also
carrying out circular economy practices to fulfill
long-term goals for 2030 of outsourcing less than

Activated Carbon Waste Reactivation: Creating NT$30 Million of 0.5kg of waste per wafer unit. Through the Action
Plan to Turn Waste into High-Value Products,
Green Benefits resource recycling equipment were deployed in
the Taiwan fabs that were able to increase the
TSMC is committed to carrying out source ways to recycle activated carbon and was carbon with adsorption capabilities and use recycling of six types of waste liquids into high-
reduction strategies. By developing a closed- able to produce recycled carbon by subjected cycles consistent with new activated carbon. value products for internal use or sale. Over
loop cycle to recycle waste for in-house use, activated carbon waste to temperatures of 800° C The recycling process will be deployed to all 260,000 metric tons of resources were recycled
the Company has been able to reduce resource and then cooling it. To ensure the quality of Taiwan fabs in 2023, which will allow the reuse with an in-house resource recycling rate of 28%,
consumption and minimize environmental recycled carbon complies with TSMC standards, of activated carbon to reduce outsourcing which was 6% higher than in 2021 and generated
impacts. Activated carbon is used to recycle several parameters were repeatedly tested by 6,000 metric tons and generate NT$30 NT$1 billion in benefits. The central utility plant
wastewater at TSMC. The resulting waste was and verified: hardness (loss from abrasions), million in green benefits each year, effectively structure of the Zero Waste Manufacturing Center
previously outsourced to waste treatment iodine value (adsorption capabilities), and growing the Company's circular economy and was completed, which is slated to enter into
vendors for treatment. To increase the waste's apparent density (activation levels). In 2022, supporting environmental sustainability. operation in 2023. As for the Electronics-grade
value, TSMC worked with vendors to research TSMC was able to produce recycled activated Chemicals Recycling Program, TSMC continues to
conduct evaluations and expects to purify waste
Activated Carbon Recycling Process into electronic-grade chemicals for internal use.
The closed-loop cycle can reduce operating risks
Activated
and maximize the value of recycled products.
Activated Recycling Secondary
carbon (raw Internal Sales
carbon vendors users
material) use The Industry Cooperation to Co-create Resources
waste
Project involves working with vendors from other
industries to reduce incineration and landfill
rates. In 2022, TSMC increased recycling rates
Return to TSMC for Recycled Activate at 800° C and from 95% to 96% and reduced landfill rates from
internal use activated cool down
carbon
0.2% to 0.1%. To achieve the 2050 goal of net
zero emissions and zero waste, TSMC worked with
vendors and introduced anaerobic digestion to
Repeated testing and verification of hardness, iodine value, apparent density, and other values treat organic sludge, which can then be used to
generate green energy. The Company was also

120
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

able to reuse instead of incinerating plastic waste TSMC Aspires to be a Practitioner of Circular Economy
through four principles: source management,
internal and external sorting, innovative
technologies, and high-value products. In 2022,
1.102 Billion 844 Million
Savings from Reducing Waste in 2022 (NT$) Benefits from Recycling Waste in 2022 (NT$)
TSMC successfully developed garbage bags from
Raw Semiconductor
100% recycled materials for internal use. Other
Material Processes
plastic waste was converted into solid fuel and
supplied to combined heat and power (CHP)
cogeneration plants to reduce coal consumption.
The technology will go live in the fourth quarter of Launched
2022 and be deployed to all facilities in 2023. Planning
NEW 2022 Achievements
Phase 1 and 2 of Fab 12 previously obtained the Intelligent Waste Management
highest platinum rating for zero waste to landfills Waste Procedure with Full Traceability
Wafer
(UL 2799), and all Taiwan facilities and subsidiaries Product Waste Resource
will seek to obtain UL 2799 certification in 2023
and 2025, respectively, as well. In addition, as most
of TSMC's waste treatment vendors use diesel
Industry Cooperation to Co- Action Plan to Turn Waste Zero Waste Manufacturing Electronic-grade Chemicals
trucks, TSMC launched the Electric Waste Removal Create Resources Project into High-Value Products Center Recycling Project
Truck Program in 2022 and started conducting
assessments. It was discovered that electric NEW NEW NEW
Increase in-house resource
trucks produce 45% fewer carbon emissions per Roll out organic slurry into recycling rate of six waste Complete central utility plant
kilometer than diesel trucks. The Program is part green energy project & waste liquids, reducing outsourced structural works for CTSP Zero
plastic recycling project waste and increasing internal Waste Manufacturing Center
of the Company's efforts to uphold the TSMC
reuse
Environmental Policy.
Recycled Products: Industrial- Recycled Products: Copper, Recycled Products: Artificial
Recycled Products: Electronics-
grade Ammonium Sulfate Industrial-grade Ammonium Fluorite & Electronics-grade
grade IPA & Cyclopentanone
Crystals & Solvent Sulfate Crystals, and Silicon Cake IPA

Waste
Reused in Other
Customers Treatment
Industries
Vendors

121
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Case Study

Green Energy Cycle: 2.2 kWh of Green Energy from 1 Metric Ton of Organic Sludge
To promote green innovation, TSMC worked with vendors to launch the Using anaerobic bacteria, it is possible to break down organic sludge
Anaerobic Digestion of Organic Sludge R&D Project, using anaerobic through four stages―hydrolysis, acidogenesis, acetogenesis, and
digestion (AD) to treat organic sludge and produce marsh gas, a form of methanogenesis―and produce marsh gas, which is primarily comprised
bio-energy, for the first time. In 2022, the Company filed an application of methane, for power generation. Each metric ton of organic sludge
to the Hsinchu Science Park Administration and received approval can generate approximately 2.2 kWh of energy through AD. The goal
in September to test out AD for organic sludge treatment at Fab 12 is to recycle instead of incinerate 100% of organic sludge in 2024,
Phases 6 and 7. As of the end of December, the incineration of organic which reduces outsourced incineration by 6,500 metric tons, increases
sludge was successfully reduced by 125 metric tons. recycling rates at Taiwan facilities by 1%, and creates a circular system
that can help usher in the future of green energy.

Anaerobic Digestion of Organic Sludge

Original
Process Incineration

Desulfurized
Organic Cleanup Marsh gas marsh gas
sludge

New
Process AD tank Desulfurization Electric Bio-energy
system Generators

TSMC works with suppliers to convert organic sludge into green energy

122
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Strengthen Audit and Guidance


To ensure a safe waste clean-up system, certification. In the same year, TSMC conducted on- Enhancement Project. In the first stage, new vendor management. The items added drive vendors to
TSMC established the Supplier Transportation site audits of 41 waste clean-up vendors, inspected selection, an interdisciplinary team of experts work with TSMC toward a sustainable environment
Management White Paper, requiring waste clean- 100% of tank trucks and sludge clean-up trucks, conducts document reviews and on-site inspections by obtaining ISO certifications, reducing and taking
up vendors to strengthen systems for waste- and mitigated ten deficiencies. across six dimensions to ensure compliance in stock of GHG emissions, committing to carbon
removal trucks, drivers, processes before/during/ waste treatment, facilities, and on-site operations. neutrality, recycling resources, and implementing
after clean-up, and education and training and TSMC believes that corporate growth and Approved vendors then enter the second stage, smart management. Lastly, vendors that perform
obtain ISO 45001 certification before 2023. Waste environmental protection go hand in hand. To such which includes quarterly on-site audits to confirm poorly in three dimensions assessed during annual
clean-up vendors are asked to protect employee end, waste treatment vendors are asked to improve the flow of waste. In 2022, new assessment items audits are replaced to enforce green practices
health and reduce environmental risks by creating environmental protection practices and prevent were added on environmental sustainability to throughout the supply chain.
safe workplaces. As of the end of 2022, 80% of any possibility of illegal waste disposal through two of the eight dimensions evaluated during
waste clean-up vendors have obtained ISO 45001 TSMC's Waste Treatment Vendor Sustainability annual audits, operations management and waste

Waste Treatment Vendor Sustainability Enhancement Project

Vendor Vendor Annual


Selection Inspection Evaluation
6 Main 8 Main 3 Main
Aspects Aspects Operating Waste Aspects
Management Management Waste Flow Control and
Safety / Waste Compliance with
Health Control Management
Management
Requirements
Emergency Wastewater ● Environmental
● Environmental Response Management Safety & Health
Corporate Scale
● Industrial
Safety & Health On-site Safety and Department
and Facilities
Department Reputation Environmental ● Waste
Protection Maintenance Air Pollution Management Corporate
● Purchasing Operation
Records Prevention Scale and
Department ● Waste Section Record
Reputation
● Waste Management Management ● Purchasing
License Violation
Section Section Safety / Department
Assessments Fire Safety
Health ● Legal Department
Management
Management

123
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

In 2022, TSMC conducted on-site audits of 58 Waste Treatment Vendor Audit and Guidance Results in 2022
waste treatment vendors, reaching an audit
ratio of 100% and mitigating 106 deficiencies. 106 Total Deficiencies
The number of deficiencies was reduced by 17%
from 2021, and the percentage of Excellent and
40% 33% 17% 6% 3% 1% 1%
Good vendors has increased from 36% in 2015
to 80%. In 2022, another long-term KPI was
added under the Circular Resource section―
increase the percentage of Excellent & Good
waste treatment vendors to 90% by 2030―to
enhance vendor quality and generate greater 42 35 18 6 3 1 1
impacts on sustainability from the supply chain. Cases Cases Cases Cases Cases Case Case
Additionally, 84% of waste treatment vendors
have obtained ISO 14001 certification. All waste Waste Safety and Wastewater Air Pollution Maintenance Fire Safety Emergency
Management Health Man- Management Management & Repair Man- Management Response Man-
treatment vendors are expected to obtain the agement agement agement
certification by the end of 2030.

TSMC continues to leverage digital tools to Legal ● Add due diligence ● Organize emergency ● Adjust the scope ● Correct operational ● Regularly inspect ● Replace powder ● Regularly organize
improve the Intelligent Waste Management Compliance requirements response refresher of operations in parameters/ dangerous extinguishers with safety-related
● Amend waste disposal training for certain the water pollution handling quantity in machinery/ abnormal pressure emergency
Procedure with Full Traceability. In 2022, the chemical operators/ prevention and stationary pollution equipment and levels response drills
contracts to comply with
EPA invited the Company to the Environmental laws and regulations occupational safety control plan to sources operating maintain records
Regulation Enforcement & Corporate Integrity ● Correct erroneous supervisors comply with on-site permit to comply for three years
declarations practices with on-site practices
Forum and National Environmental Regulation
Enforcement Conference to share how it was ● Correct erroneous ● Improve on-site ● Improve discharge ● Enforce regular ● Enforce inspection ● Regularly organize
able to strengthen management, recycle labels in waste signs of the Globally point and wastewater inspections and replacement emergency
storage areas Harmonized System pipeline signs of dangerous of fire protection response drills
resources, and reduce risks of illegal disposal of Classification and machinery/ equipment
On-site ● Improve ● Enforce wastewater
by vendors. By sharing its experiences, TSMC Environment/ waste storage Labelling of Chemicals meter readings and equipment
Operational environment and safety data sheet records
hopes to lead the industry into a sustainable
Improvement conformance
future through digital transformation. ● Raise awareness for
wearing PPE equipment
and optimize protection
equipment for
operations and safety

● Formulate PPE ● Formulate ● Formulate


Establishing requirements regulations for emergency
Regulations & for different sampling facility response
Procedures workstations inspections, processes and
maintenance, and regulations
repairs
124
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

TSMC Waste Treatment Vendor Management Milestones

Establish & initiate the selection process for Strengthen waste treatment vendor Build Clean-up Collaborate with vendors to host the waste management conference Achieve ISO 14001
new waste treatment vendors annual audit Plan Receipt Cloud certification and
Finish connecting the Recycled Product Cloud Reporting Platform with the
Announced standards for waste treatment Reportting implement S.W.I.F.T. for
EPA's reporting platform
vendor annual evaluation Process 100% of vendors
Strengthen exclusive TSMC GPS
Establish an exclusive TSMC GPS Satellite Satellite Fleet System
Fleet System
Launch Smart Management project for
Enforce the waste treatment vendor annual New version of annual waste
Proper Waste Handling
audit plan treatment vendor audit plans
Establish a Recycled Product Flow
Cloud Reporting Platform

2015 2016 2017 2018 2019 2020 2021 2022 2030

Launch the ISO 14001 Certification Project Expand coverage of vendor's Establish the Clean-up Operations Enhancement Project
for Vendors surveillance system
Establish S.W.I.F.T.
Vendor quarterly on-site inspection plan
Faciliate public access to flow of recycled products
Track the flow of recycled products monthly reported to the EPA

In-house Waste Management Waste Clean-up Monitoring Waste Treatment/Reuse Transporting Recycled Products Flow of Recycled Products

2022 Waste Treatment Vendor Evaluation Results ISO-certified Waste Treatment Vendors

6 10 11 15 17 36 38 44 46 49
30 33 33 32 34
19 15 14 13 12 80 84
82
1 0 1 1 1
1 1 0 0 0

70
20% 68
25% 23%
26%
36%

77% 80%
74% 75%
64%

2018 2019 2020 2021 2022 2018 2019 2020 2021 2022
Excellent Good Average Passed Disqualified No. of Certified Vendors Percentage (%)
Note: The total score is 100 points: greater than 90 points are Excellent; 80-89 points are Good; 70-79 points are Average; 60-69 points are Passed; less
than 60 points are Disqualified 125
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Air Pollution Control


Strategies 2030 Goals 2023 Targets 2022 Achievements

Adopt Best Available Technology


Adopt the Best Available Technology Reduce the unit air pollutant emissions by 65% Note Reduce the unit air pollutant emissions by 58% Reduced the unit air pollutant emissions by 59%
to control the pollutants emitted (Base year: 2015) Target: 56%
from TSMC operations and minimize
environmental impact Reduction rate of volatile organic gases: >99% Reduction rate of volatile organic gases: >98.6% Reduction rate of volatile organic gases: >98.9%
Target: >98.6%

Strengthen Monitoring for Air


Pollution Control Equipment
Leverage backup systems and dual- Report <1 abnormal occurrence in air pollution Report <1 abnormal occurrence in air pollution Reported 0 abnormal occurrences in air pollution
track management, along with control equipment control equipment control equipment
pollutant monitors, to ensure that the Target: <1
equipment works as intended and to
prevent abnormal occurrences

Note: TSMC actively invests resources to lower environmental impacts. In 2030, air pollutant emissions unit per product was adjusted from 60% to 65%
Exceeded Achieved Missed Target

126
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Adopt Best Available Technology


TSMC is committed to air pollution control and has areas: air pollution monitoring, water quality analysis, Acid, alkaline, and volatile organic gases comprise to high-efficiency local scrubbers that will process
adopted the Emission Source Classification method and environmental protection in surrounding areas. the majority of air pollutants produced by the highly concentrated pollutants. The gases with low
and multi-phase Best Available Technology (BAT) to In addition, TSMC is conducting verifications to help semiconductor industry. TSMC employs two concentrations will then be sent to central scrubbers,
enhance the performance of pollution control. In 2022, facilities quickly identify target pollutants, apply approaches - Classification and Reduction of Emission which are terminal prevention facilities, for the second
Wet Electrostatic Precipitator Scrubber was applied BAT, and introducing Effluents and Water Quality from Sources and Strengthened Management of stage of rinsing and neutralization. Depending on
in TSMC process for the first time, which used high- Management for Scrubbers to regularly inspect water Terminal Prevention Facilities to achieve BAT with this their boiling points, volatile organic gases may be
temperature sulfuric acid for cleaning, reducing quality to ensure air pollution control equipment is multi-phase system and effectively control air pollutant sent to condensation type scrubbers first and then to
alkaline gases and particulate matter (PM2.5) by 90% operating at optimum levels. In 2022, TSMC was able emissions. Exhaust gases are separated in the first zeolite rotor concentrators for adsorption, reducing
and 91%, respectively. The Company also actively to meet the annual target for air pollutant emissions stage based on their properties - toxic, corrosive, emissions and improving decontamination results.
monitoring and managing air pollution emissions per unit of production as well as the annual target for flammable, perfluorocarbon greenhouse gases,
from control equipment, expanding the Environmental volatile organic gases reduction, continuing to move and acidic/alkaline. The gases are first introduced
Lab's responsibilities to include the following three toward sustainability goals.

Air Pollution Control Procedures

Pollutant First Stage Second Stage Dual-track Independent


Source Local Scubbers Central Treatment Facility Monitoring System

Acid and
Dry Process Monitor Operating
Adsorption Plasma+Wet Burn+Wet Thermal+Wet Alkaline
Conditions
Gases
Central Wet Scrubber
Online Fluorine Gas
Monitoring Stack
Chemical Wastewater Wet (Facility Site) Exhaust
Storage Tank Tank Acid and
Exhaust Alkaline
Duct Gases Central Scrubber with
Washing Equipment
Monitor Emission
Wet Process Wet (Process site) Electrostatic Precipitation Reduction

Volatile Online IPA


Organic Monitoring
Stack
Gases
Organic Process Exhaust
Condensation Zeolite Rotor
Concentrators
Expanded Applications of Effluents and Water Quality Management for Management Mechanism for Stack
Environmental Lab Pollution Source Tracking, Analysis and Investigation NEW
Scrubbers NEW Emission Baseline
Air Pollution Control Equipment
Monitoring System Facility Parameter Change Management System/
Automatic System for Legal Air Pollutant Coefficient Upgrades and Checking 127
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Different Types of Local Scrubbers Source Reduction and Management –


High Efficiency Local Scrubbers
Process Semiconductor Fabrication Target Pollutant Control Technologies Equipment Reduction Rate Real-time Parameter Monitoring
As TSMC accelerates the development of
Corrosive Burn-Wet ● Natural gas flow advanced process technologies, the New Tool &
Epitaxial Dry Gases (PM2.5 reduction equipment testing NEW )
>99%
● Oxygen flow New Chemical Review Committee evaluates the
Etching Burn-Wet ● Circulating water flow
Perfluorocarbons
(Large-capacity) ● Inlet pressure hazard of new processes and chemicals on ESH to
prevent added risks of air pollution. In 2022, the
Corrosive Gases
● Current amperage Committee conducted 430 reviews for 198 new
Dry Etching Perfluorocarbons Plasma-Wet >95% ● Circulating water flow
● Inlet pressure tools and 232 new chemicals. The two-stage review
Flammable Gases
enables the Committee to carefully identify optimal
Thin Film Corrosive Gases
separation methods and local scrubbers for
Thermal-Wet with
Dry Diffusion Perfluorocarbons >95% ● Reactor temperature new tools and chemicals. Depending on exhaust
Chemical Dosing
Process ● Circulating water flow
Flammable Gases gas properties, they are sent to different types
● pH value
Sputtering Hydrochloric Acids Thermal-Wet with Chemical Dosing Hydrochloric Acids >87% ● Inlet pressure of local scrubbers - thermal, burn, plasma, wet
Particulate Matters (Add High-efficiency Spray Device) Particulate Matters >86% with chemical dosage, adsorption, condensation,
Ion Implantation ● Pressure difference of local and wet - for pre-treatment. As TSMC continues
Sputtering Toxic Gases Adsorption >95% scrubber to bring in new types of high efficiency local
Epitaxy ● Inlet pressure scrubbers, the Company is also working with
Nitrous Oxide High-Temperature Thermal+Wet
● Reactor temperature suppliers to optimize the performances of existing
Thin Film >90% ● Circulating water flow
(N2O) (PM2.5 Reduction Equipment Testing NEW ) local scrubbers. In 2022, TSMC applied the wet
● Inlet pressure
electrostatic precipitator scrubber in the process
Wet ● Differential pressure of local scrubber which used high-temperature sulfuric acid for
Corrosive Gases
+ ● Circulating water flow
Chemical Dosage >95% ● Inlet pressure
cleaning in Fab 12B, using corona technologies
Wet Organic Gases (Process Site) ● pH value and wet scrubber method to remove pollutants -
Wet etching
Process alkaline gases and PM2.5 - at the same time.
Alkaline Gases
● Inlet pressure
Wet Electrostatic >90%
PM2.5 NEW
● Corona voltage
Precipitation Launched in 2021, the three-year High-efficiency
● Corona current
● Differential pressure of local
Spray Equipment Upgrade Program to upgrade
Organic High Boiling Specific High Boiling Point
PR Stripping Condensation scrubber existing thermal-wet scrubber has upgraded 508
Process Point Organics Organics >95% ● Condensation temperature scrubbers, with a finish rate of 68% by the end of
Chemical ● Differential pressure of local scrubber 2022. To further enhance PM2.5 reduction rates
Corrosive Gases Wet
Storage Storage Tank ● pH value
+ of existing local scrubbers, TSMC expanded the
Tanks >95%
Wastewater Acid and Alkaline Chemical Dosage ● Circulating water flow
(Facility Site) ● Inlet pressure PM2.5 Reduction Equipment Upgrade Program to
tanks Gases

128
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

develop devices that can improve decontamination gases are channeled to central treatment facilities High-efficiency Central Scrubbers in new facilities not increased with the addition of new facilities.
for different types of local scrubbers, including for scrubbing and acid/alkali neutralization to to enhance the overall performance of terminal When concentrated gases adsorbed by zeolite
eddy gas/water separators, centrifugal washers, or remove pollutants. Exhaust gases from certain prevention facilities. rotors enter thermal oxidizers, pyrolysis can remove
cyclone particle collectors to strengthen exhaust wet processes that emit large amounts of acid/ volatile organic gas pollutants but may also produce
gas treatments. alkaline gases are sent to a two-step scrubbing As for volatile organic gas treatment, TSMC continues the byproduct of nitrous oxide (NOx) pollutants.
– central scrubber connecting washing tower - to carry out the Low-efficiency Single Zeolite Rotor In 2022, Fab 18 Phase 7 applied low nitrous oxide
Strengthen Management of Terminal to increase pollutant adsorption. To enhance the Concentrators renew Initiative in existing facilities burner (low-NOx burner) in the volatile organic gas
Prevention Facilities ─ Central effectiveness of central scrubbers, existing facilities and install Dual Zeolite Rotor Concentrators in new treatment facilities, using Moderate or Intense
Scrubbers installed hydro membranes, changed filters, and facilities. In 2022, all facilities reduced volatile organic Low Oxygen Dilution (MILD) thermal oxidizers and
added Raschig rings to optimize tower structures gases by 98.9%. Due to enhanced prevention and combustion flow field control technologies to reduce
After first-stage treatment, the exhaust gases
and decontamination. TSMC will continue to install control measures, total air pollutant emissions have NOx emissions by 65% each year.
undergo second-stage treatment: acid/alkaline

Historical Emissions and Emissions Per Unit of Production Unit: ton/year


Historical Volatile Organic Gas Reduction Rates Unit: %

Total 295.2 309.3 298.4 299.6 296.9 288.6 96.6 97.0 98.0 98.6 98.7 99.0
94.3 96.2 96.6 95.7 95.8 98.2

0.72
0.69
0.62
0.50
0.43 0.38
98.3 98.4 98.9
97.8
96.9
96.5

2017 2018 2019 2020 2021 2022 2017 2018 2019 2020 2021 2022
Total Hydrocarbons Hydrofluoric Acid Sulfric Acid Hydorchloric Acid Chlorine Average Reduction Rates of Taiwan Fabs Average Reduction Rates of Subsidiaries & Overseas Fabs
Nintric Acid Phosphoric Acid Ammonia Unit Air Pollutant Emissions (g/12-inch equivalent wafer mask layers) Average Reduction Rates of TSMC (including subsidiaries)
Note 1: TSMC air pollutant emissions are reported in accordance with local laws and regulations Note: Figures from TSMC fabs in Taiwan, TSMC (China), TSMC (Nanjing), and VisEra. Data excludes WaferTech as local regulations required individual
Note 2: Air pollutant emissions include the total emissions of eight gases: hydrocarbons (THC), sulfuric acid (H2SO4), hydrochloric acid (HCl), nitric acid (HNO3), hydrocarbon monitoring instead of total hydrocarbon monitoring
hydrofluoric acid (HF), phosphoric acid (H3PO4), chlorine (Cl2), and ammonia (NH3)

129
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Timeline of Air Pollution Prevention Systems Local Scrubber Central Scrubbers Zeolite Rotor Concentrators

Add chemical dosing Introduce high-temp Add chemical Introduce wet Add high-efficiency Introduce PM2.5 Reduction Apply local wet electrostatic precipitation
system to thermal wet electric heating and wet dosing system electrostatic spray equipment large-capacity Equipment scrubbers to high-temperature sulfuric
scrubbers scrubber to scrubbers to precipators to thermal wet burning Upgrade Plan acid cleaning process
wastewater tank scrubbers equipment Alkaline gas removal: 90%
scrubbers
PM2.5 removal: 91%

2018 2019 2020 2021 2022

Add hydromembrane to Independent Add chemical Introduce Introduce the Improvement Introduce High-Efficiency Apply MILD thermal oxiders to volatile
scrubber Central Scrubber dosing system to the Low- Program for Inefficient Central Scrubbers to new organic gas treatment facilities
connected wastewater tank efficiency Single Thermal Oxidizer of Zeolite facilities Annual NOx reduction: 65%
with washing scrubbers Zeolite Rotor Rotor Concentrators
equipment Concentrators
Upgrade Initiative
to existing facilities

Introduce Clean-Gas- Introduce Dual Introduce Parameter Introduce Smart Control


Desorbing Zeolite Zeolite Rotor Optimization for Single Parameters for Single Zeolite
Rotor Concentrators to Concentrators to new Zeolite Rotor Concentrators Rotor Concentrators to existing
existing facilities facilities to existing facilities facilities

130
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Prevention Technology Feasibility and Reduction Effectiveness Evaluation Empower Environmental Lab for Comprehensive Air Pollution Control
In compliance with TSMC Environmental Policy, and tested; 16 cases of mixed acid and alkaline gas
Wastewater Tank Central Clean-Glass- Improvement Program PM2.5 Reduction the Company is dedicated to reducing air pollution emissions were found and resolved, and improved
with Wet Local Scrubber With Desorbing Zeolite for Inefficeient Thermal Equipment
Scrubber HydroMembrane Rotor Concentrators Oxidizer of Zeolite Upgrade Plan NEW
emissions. The Environmental Lab has strengthened performances in 15 treatment facilities were verified.
Rotor Concentrators pollutant monitoring and management for
Wet Local Scrubber Application of Apply MILD
stacks, using baseline management to control the For water quality testing, the Environmental Lab
of Waste Water Tank Honeycomb Packing Management Thermal Oxidizers
with Dosing System Material in Central Mechanism for Stack to Volatile Organic concentration of air pollutants, achieve source provided the analyzation for 29 items of pollutants
Scrubber Emission Baseline Gas Treatment in scrubber water. The result showed that water
reduction and enhance the performance of prevention
Facilities NEW
Introduce Wet facilities. In 2022, 104 stacks exceeding baseline containing acid-base ions could be turned into
Electrostatic Apply Local Wet gaseous pollutants at certain pH levels. In 2022, the
Precipators Electrostatic concentration standards have been mitigated by the
Precipitation decontamination method, including replacing terminal Environmental Lab established Effluents and Water
AI Parameter
Scrubbers to prevention facilities that have reached the end of their Quality Management for Scrubbers to regularly inspect
Optimization for
High-temperature
Single Zeolite Rotor life cycles, upgrading existing facilities, or introducing water status to ensure air pollution control equipment
Sulfuric Acid
Concentrartors operates at the optimal levels.
Cleaning Process new high-efficiency facilities. The outcome shows 88
NEW
stacks have been mitigated.
Water Wet Dual Zeolite High- Three Applications of Environmental
Turbine Process Rotor Efficiency
with High Concentrators Central For air pollution sampling, the Environmental Lab to Strive for Zero Emission
Efficient Scrubbers Lab introduced various sampling and analysis
Filter Parameter
technologies according to the demands and regulatory Develop comprehensive sampling and testing
Equipment Optimization New High-
for Single Efficiency
technologies to monitor emissions and verify
requirements. In 2022, the Lab developed ways to upgrades of control equipment
Zeolite Rotor Spray Devices
Concentrators to Local analyze inorganic acids, ammonia, heavy metals,
Scrubbers NMHCs, etc., to increase the facilities' abilities for ● Manage emission stacks
Air
different pollutants identification, provide sampling Emission ● Increase variety of pollutants
Introduce
sampled NEW
Large- data more rapidly, and shorten mitigation period. The ● Investigate emission
Capacity
Lab further traced air pollution sources by sampling sources NEW
Local Burning
Scrubbers central scrubber exhaust ducts to confirm the source
of abnormal exhaust gas emissions. Then, TSMC can ● Manage effluents and water
Effluents quality for scrubbers NEW
investigate upstream process tools to clarify special ● 29 items for watere quality
gases and emission properties for ensuring the test NEW
coverage rate of local scrubber installation is sufficient.
The Lab also verifies the performance of upgraded ● Monitor surrounding areas
Surrounding with air quality vehicles
local scrubber, filler variance, and optimal parameters. Areas ● Build stationary monitoring
This allows facilities to rapidly develop optimal stations around Zhunan,
2013 2015 2016 2017 2018 2019 2020 2021 2022
treatment for target pollutants. In 2022, 2,282 exhaust Taichung and Tainan Science
ducts, sub-ducts, and equipment units were sampled Parks

Note 1: Size of the bubble indicates the technology's reduction efficiency


Note 2: Single circle indicates local/central scrubbers; double circles indicate zeolite rotor concentrators
131
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Strengthen Monitoring of Air


Pollution Prevention Equipment Case Study
TSMC is strengthening the reliability of air pollution
control equipment operating systems and air
pollution monitoring to ensure compliance with
Taiwan and overseas regulations. The Company
Improve Corona Technologies in Air Pollution Control Equipment to
also deployed automatic monitoring systems - total Reduce Alkaline Gases and PM2.5 by over 90%
hydrocarbon monitors, online IPA monitors, and
online fluorine gas monitors - to rigorously control With the evolution of advanced processes, TSMC continues to work Wet-EP Scrubbers: Three Stages of Decontamination
monitoring data and actual emission levels. In with suppliers to optimize the performance of air pollution prevention
addition, the Facility Division, Industrial Safety and equipment and has applied Wet Electrostatic Precipitator (wet-EP) 1 2 3
Environmental Protection Division, and Instrument Scrubbers in the process which used high-temperature sulfuric acid
Control System Division used Automatic System Zeolite
for cleaning. As this process simultaneously uses acids, alkaline,
rotors
for Legal Air Pollutant Coefficient Upgrades and and organic chemicals, it produces large amounts of acid/alkaline Exhaust
Inspection, Facility Parameter Change Management gases
gases and high-concentration PM2.5. TSMC improved on wet-EP
System, and Facility Monitoring and Data Collection corona technologies to ensure safety and enhance the effectiveness
(+)
System to monitor facility parameters. The systems of air pollution treatment, changing electricity discharge mode and Electrostatic
automatically compare and inspect data to prevent precipitator
installing protective mechanisms. The improved technology has
flaws or losses from human error. This effectively obtained SEMI S2 safety certification and, after repeated testing, Packing layer
increases the accuracy and reliability of TSMC reports can be used for three-stage pollutant treatment. The first stage
to government agencies. is a washer that transmits gaseous acid/alkaline liquid phases
for decontamination. The second stage is a particle collector that
In addition, to ensure air pollution treatment Demister
accumulates and removes particulate matter with corona discharge.
facilities remain at optimal efficiency for operations
Finally, the gases which have been treated by wet-EP would enter to
all year round, all control equipment is equipped
the zeolite rotors for organic pollutant removing. The three-stage Water tank
with a Dual-track Independent Monitoring System
process can effectively minimize acid/alkaline gases, organic gases,
that allows the Facility Division and Industrial Safety
and PM2.5 emissions simultaneously.
and Environmental Protection Division to perform
emergency repairs or initiate backup systems to In 2022, TSMC introduced wet-EP into Fab 12B. Results showed that
1 Washer: Remove acid/alkaline gases
minimize damages and losses. TSMC also adopts the three stage process was able to remove 90% of alkaline gases,
the N+1 rule where all equipment must have at least Three Stages of
and 91% of PM2.5 which is the form of acid gases after reaction. In 2 Particle Collector: Remove Particles
Decontamination
one backup system and uses Uninterrupted Power the future, TSMC will continue to evaluate the feasibility and efficacy
Supply Systems to help fulfill the management target 3 Zeolite Rotors: Remove VOCs
of wet-EP applications to other exhaust gases to reduce air pollution
of zero failure in control equipment. With dedicated emissions and strive toward zero emissions.
efforts from various divisions, TSMC is proud to
report zero abnormal occurrences in air pollution
control equipment in 2022.

132
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Case Study

Optimize Thermal Oxidizer Structures and Treatment Process to Reduce Nitrogen


Oxide (NOx) Emissions by 65%
Volatile organic compounds (VOCs) and perfluorinated compounds (PFCs) are byproducts of wafer Low-NOx Burner Reduces Nitrogen Oxides Emissions
manufacturing. Air pollution control equipment mainly uses pyrolysis to remove VOCs and PFCs. However,
this process also causes dinitrogen (N2) to react with oxygen (O2) in the air and produce nitrogen oxides (NO
Exhaust gases
and NO2) pollutants.
3 Stove shielding
Develop Low-NOx Burner to Reduce NOx Production
TSMC has launched a NOx reduction project in all facilities around the world to comply with assessment
requirements for the new U.S. facility. First, TSMC conducted survey and analysis for comprehensive stacks Flame
in the facilities. Results showed that NOx is mainly produced by pyrolysis in local scrubbers and VOC control
equipment. To reduce NOx emissions, TSMC adapted the structure and treatment processes of thermal
oxidizers in control equipment, adding swirler to introduce outside air into oxidizers to facilitate combustion.
Fuel sprinklers are also added for pre-heating in stages. In the first stage, the oxygen concentration is Sec
on
be lower in the low-temperature reaction. In the second stage, the air with low oxygen concentration is pre d sta
he g
introduced into the combustion. Under the low-oxygen combustion, flame temperature dip from 1,600° C to ati e of
ng
Fir
1,300° C and result in lower NOx production. At the same time, combustion flow field control technologies st
pre stag
Outside
he e o air
ati
are used to change where exhaust gases enter into thermal oxidizers so that the gases can circumvent high- ng f
temperature zones, which reduces the production of thermal NOx.
2 Fuel sprinklers
In 2022, TSMC tested the new process on VOC control equipment in the Fab 18 Phase 7 and was able to
minimize target pollutants and NOx byproducts by simply adapting thermal oxidizers and using optimal 1 Added swirler to bring in outside air 1 Swirler
temperatures. The process does not compromise the performance of exhaust gas treatment and can reduce Gas
NOx emissions by 65% each year. TSMC will continue to evaluate ways to improve local scrubbers to further 2 Added fuel sprinklers for pre-heating
reduce NOx production and strive for environmental-friendly goals. Stove shielding is installed to allow exhaust gas to flow in from outside to
3
avoid direct contact with high-temperature flame

133
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

An Admired Employer
TSMC values its commitment to employees and works to foster a humanistic workplace culture with open communications.
The Company is dedicated to promoting a diverse, inclusive, safe, and fun workplace where employees can continue to learn.
TSMC also provides competitive compensation and welfare, striving to be a company that employees can be proud of.

Top 25% 7,817 8,836


Total compensation amongst New high-quality jobs around the Beneficiaries of health-promotion
industry peers world programs for contractors

Diversity and Inclusion Talent Attraction and Retention Talent Development Human Rights Occupational Safety and Health

134
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Diversity and Inclusion


Strategies 2030 Goals 2023 Targets 2022 Achievements

Establish an Open-style
Management System
Fulfill Core Values and Business Rank in the 75th percentile for Diversity and Rank in the 50th percentile for Diversity and
Philosophy and continue to shape Inclusion; the rank is determined by comparing Inclusion; the rank is determined by comparing
an inclusive culture results from the Engagement Survey Note 1 against results from the Engagement Survey against
the WTW Global High Performance Norm the WTW Global High Performance Norm

Unleash the Potential of


Diverse Talent Note 2
Provide resources to support Women in management: ≧ 20% Women in management: ≧ 14% Women in management: 13.3%
diverse talent to grow and flourish Target: 14% Note 3

Women account for 30% of all newly-hired Women account for 25% of all newly-hired Women accounted for 23.7% of all newly-hired
technical professionals technical professionals technical professionals Note 4

Target: 25%

Note 1: The Engagement Survey is issued once every two years and will be issued next in 2023. For the 2021 Engagement Survey, please refer to Employee Commitment
Note 2: TSMC respects difference, hence the hiring or promotion of colleagues is not affected by gender, religion, race, nationality, or political affiliation. The Company proposes that the employee mix should reflect the
current social situation, thus the strategy of Unleashing the Potential of Female Employees was changed to Unleashing the Potential of Diverse Talent to build a diverse and inclusive workplace
Note 3: Although the proportion of women in managers in 2022 has not been achieved, it was still 0.3% higher than that in 2021. For details on talent retention, please refer to Talent Attraction and Retention
Exceeded Achieved Missed Target
Note 4: Due to labor market conditions in 2022, although the proportion of women in newly-hired technical professionals has not been achieved, it was still 2.4% higher than that in 2021. For details, please refer to Talent
Attraction and Retention

135
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Establish an Open-style Management System


TSMC respects difference and sees talent as development. By implementing the Diversity and TSMC strives to create an open-style management cultivating, and retaining talent, thereby realizing
the most important asset for driving industry Inclusion Statement, TSMC vigorously creates an system inspired by the Business Philosophy written a diverse and inclusive workplace where everyone
upgrades and social development, hence the open-style management system and inclusive and published by TSMC Founder Dr. Morris Chang, respects each other and is willing to communicate.
hiring or promotion of colleagues is not affected workplace that integrates people from different and continues to work on the four areas of Diverse TSMC aims to enable global employees to fully
by gender, religion, race, nationality, or political backgrounds. By strengthening collaboration Communication Channels, Learning Resources, utilize their strengths in suitable positions, growing
affiliation. Furthermore, diverse management through mutual understanding, acceptance, and Advance Employee Welfare, and Unleash the together with the Company and creating win-win
and employee mix are applied to bolster the respect, the Company will maximize the benefits of Potential of Diverse Talent. It is hoped to prove the situations.
Company's competitive edge and sustainable diverse talent resources. Company's commitment and actions to fostering,

The Four Areas of Diversity and Inclusion

● Communication meetings at all levels / across levels ● Launch the TSMC Child Care Benefit Program to
● A global Engagement Survey to regularly and support employees in balancing family life and work
systematically compile employee opinions Diverse ● Free advanced health check-ups & leave for TSMC
● Establish multiple channels for employees Communication employees with five-year seniority and longer
● CEO on Quarterly Outlook Channels
NEW ● Upgrade the Service Award to recognize employees'
● Expand Silicon Garden Meeting Feedback Channel NEW service and contributions to the Company

Diverse
Learning
Employee
Resources
Welfare
● Provide diverse learning resources and tools to ● Organize Inclusive Leadership Workshop
strengthen the professional know-how and self-
efficacy of employees ● Found the Employee Resource Group Women@tsmc
● Diverse learning methods to help employees ● Form the R&D Organization Diversity and Inclusion
Unleash the Committee NEW
understand the significance of Diversity and
Potential of
Inclusion
Diverse Talent

136
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Unleash the Potential of Diverse Talent


In 2022, TSMC organized 15 Inclusive Leadership of career planning and building a workplace the TSMC Journeys of Female Scientist Lectures by content design, forming diverse employee resource
Workshops to support senior executives in mentality, but also to facilitate exchanges among inviting lecturers to communicate with students, groups, hosting training courses to raise awareness
understanding diversity and inclusion, as well female colleagues, encourage dialogue between helping the Company to expand talent cultivation of diversity and inclusion, implementing flexible work
as reinforce the awareness of unconscious bias, different generations, and provide all-round support and create a talent pool for the semiconductor hours, as well as support programs for expatriates
learn how it is formed, and appreciate the value of for female employees, so as to promote innovative industry. and their families in a bid to create a diverse and
diversity and inclusion in their work through case values of diversity and inclusion. inclusive experience for employees. The purpose is
studies. Furthermore, they also learned how to In 2023, TSMC will design projects according to also to implement a friendly workplace and unleash
create a diverse and inclusive workplace. The training Additionally, TSMC officially established the employee different stages of employees' careers. These include the potential of diverse talent.
completion rate was 81%. resource group Women@tsmc in 2022 to provide experience camps for people with disabilities and job
female employees with a platform to support each
In the same year, the R&D Organization Diversity and other. Activities such as female colleagues forums
Inclusion Committee was formed to focus on female and mentoring programs serve to enhance female
employees' issues, actively recruit female interns, employees' interpersonal networks within the
and hold a series of lectures. The aim was not only Company and encourage them to pursue their
to promote knowledge sharing from the perspective careers and self-growth. Women@tsmc also supports

Diverse and Inclusive Employee Experience

Attract

Retain / Exit Recruit

Design D&I
Programs Based
on Employees'
Journey with
TSMC
Develop Onboard

Perform Engage

The company holds a "Tech Your STEM Genes" women in science and technology symposium

137
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Case Study

Unleash Employee Potential and Create a Role Model for Female Semiconductor Talent
TSMC prides itself on its people-oriented corporate culture. To support the career development of TSMC recognizes the significant contributions of outstanding female colleagues by recommending
female talent in the semiconductor industry, TSMC is committed to realizing the value of diversity them to participate in external award selections. In turn, the Company can create a role model
and inclusion and creating a challenging, fun, and sustainable learning workplace. Through for female talent, encouraging women in science and technology to facilitate the development of
teamwork, experience sharing, and guidance programs, the Company can assist female colleagues the semiconductor industry and advance technological innovation. In 2022, TSMC recommended
to tap into their potential and develop their strengths, as well as support colleagues in looking numerous outstanding female colleagues to win national and global accolades.
after their families and work development with a robust employee welfare system. Moreover,

Defending TSMC's industry My first impression of TSMC TSMC's abundant resources and
leadership and operation freedom was that it is a company with innovations enable novel ideas to be
is the most honorable mission an exceptional ability to get turned into actions in a short time.
in my career. Thanks to the R&D things done and an emphasis on Thanks to the guidance of senior
team's innovation achievements teamwork. colleagues and mentors, I can complete
and the legal team's joint efforts tasks with minimal effort and explore the
that made the new patent record. infinite possibilities of semiconductor applications.

Associate General Counsel TSMC Academician TSMC Technical Assistant Manager of the
Billie Joy Yi-Rou
National Manager Excellence Award National Industrial Innovation Award – Product Component Engineering Department
Chen Cheng Liou
Chinese Professional Management Association Woman Group Female Up and Comer Award
Ministry of Economic Affairs Global Semiconductor Alliance

Constructed strategic patent portfolio with Pushed through the nm process limit, Improved chip computing speed significantly
internationally-recognized quality; led patent developed photoresist technology of Extreme in a short time, controlled costs effectively,
litigations to establish victory results for Ultraviolet Light (EUV), and collaborated with increased customer product performance,
Accolade TSMC's freedom of operation. Accolade suppliers to lower process costs and risks, Accolade and fostered innovation in the semiconductor
as well as maintain TSMC's technological ecosystem.
leadership.

138
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Promotion Rate by Gender Compensation Ratio by Gender


Unit: %

0.91:1 0.92:1 0.91:1 0.96:1 0.99:1 Region/


Position 2018 2019 2020 2021 2022
Subsidiary

Managers 0.99:1 0.95:1 0.97:1 0.97:1 0.97:1


16.7
14.9
16.4 Professionals 0.93:1 0.93:1 0.93:1 0.93:1 0.93:1
12.4
14.3 Taiwan
8.9
11.2 Assistants 0.98:1 0.97:1 0.97:1 0.93:1 0.91:1
5.9
8.1
5.4 Technicians 1.14:1 1.13:1 1.13:1 1.14:1 1.15:1

2018 2019 2020 2021 2022 Managers 0.96:1 0.94:1 0.96:1 1:1 0.96:1
Male Promotion Rate Female Promotion Rate Promotion Rate of Female : Male
Professionals 0.89:1 0.89:1 0.88:1 1:1 0.99:1
China
Percentage of Female Employees Assistants 0.93:1 0.88:1 0.91:1 1.14:1 1.19:1
Unit: %
Technicians 1.07:1 1.05:1 1.11:1 1.16:1 1.13:1
38.7 37.8 37.1
35.4 34.4 Note 1
Managers 0.88:1 0.95:1 0.94:1 0.97:1 0.93:1
36.6
34.8 North
33.8 America, Professionals 0.78:1 0.79:1 0.78:1 0.82:1 1.03:1
Europe,
Japan, Korea Assistants - - - - 0.97:1
23.4 23.7 Note
22.0 21.1 21.3
Technicians - - - - 0.96:1
18.5
Managers 0.79:1 0.72:1 0.71:1 0.69:1 0.69:1
13.7 13.6 13.0 13.4 13.6
12.6 11.1 12.7 12.5 13.0 13.3 Professionals 0.87:1 0.86:1 0.86:1 0.85:1 0.91:1
10.2 10.4 10.6 10.9 VisEra
10.0 10.3
8.3 6.1 Assistants 1:1 1.03:1 1.06:1 1.18:1 1.18:1
5.2 5.5
2.0 3.6 3.6 Technicians 1.04:1 1.13:1 1.03:1 1.04:1 1.05:1
2018 2019 2020 2021 2022 Managers 0.79:1 0.84:1 0.75:1 0.79:1 0.80:1
Females in All Employees Females in STEM Positions Note 2 Females in Newly-Hired Technical Professionals Note 3
Professionals 0.83:1 0.91:1 0.83:1 0.87:1 0.82:1
Females in Top Management Note 4 Females in Junior Management Note 4 Females in Management
WaferTech
Females in Management Position in Revenue-Generating FunctionsNote 5 Females in TSMC Academy Note6 Assistants 0.87:1 0.91:1 0.91:1 0.93:1 0.95:1
Note 1: The percentage of female employees declined in 2022 because new Note 4: Junior management positions include first-line managers while
hires were mainly engineers and there was a significantly lower number top management positions include Vice Presidents and higher. Technicians 1:1 0.99:1 1:1 1.02:1 1.02:1
of female engineers than male engineers in the labor market Note 5: Management positions in revenue-generating functions include
Note 2: STEM positions include R&D, operations, Q&R, information technology, managers of R&D, operations, Q&R, sales, and other units Note: From 2018, statistics for Japan, North America, and Europe have been changed from individual statistics to consolidated statistics
and information security employees, and other units Note 6: TSMC Academy members with outstanding achievements,
Note 3: Newly-hired technical professionals include all newly-hired technical insights, or breakthroughs in specific fields or experts with
professionals with less than one year of recognized experience outstanding contributions to TSMC
139
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Age Work Location


Unit: % Unit: %

71.4 70.9 89.2 89.7 90.1 90.0 89.8


67.9
63.6
60.8

33.4
30.8
24.1 24.1 26.6
7.5 7.2 6.9 6.6 6.6

3.1 2.9 2.9 3.4 3.5


4.5 5.1 5.5 5.6 5.8
0.1 0.1 0.1 0.1 0.1

2018 2019 2020 2021 2022 2018 2019 2020 2021 2021
18~30 31~50 51+ Taiwan Fabs and VisEra Asia Note
North America Europe
Note: Asia includes Shanghai, Nanjing, Japan, and South Korea

Position Education
Unit: % Unit: %

48.9 49.0 48.1 46.7 47.3 47.2


47.6
45.7 44.7
42.6

35.0 27.6 29.3


33.5 32.3 25.9
30.7 25.3 25.7
30.0

15.7 14.8 13.3 12.0


10.9 11.9 11.3
10.5 10.3 10.2
11.1 10.6 9.8
8.4 8.5 8.5 10.2 10.0 8.9 8.4
4.7 4.5 4.4 4.1 3.8
2018 2019 2020 2021 2022 2018 2019 2020 2021 2022
Managers Professionals Ph.D. Master Bachelor
Assistants Technicians Other Higher Education High School
TSMC implements a diverse and inclusive workplace, creating an environment of mutual respect and communication

140
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Talent Attraction and Retention


Strategies 2030 Goals 2023 Targets 2022 Achievements

Fulfill the "Commitment"


Core Values
Offer employees quality jobs Conduct an Employee Engagement Survey every two
and strengthen employee years to reinforce core values
commitment
- Ensure that over 95% of employees are fully Over 93% of employees were fully committed to their
committed to their work work Note 1

Target: 95%

- Ensure that over 95% of employees are willing to Over 90% of employees were willing to continue
continue working for TSMC in the next five years working for TSMC in the next five years Note 1

Target: 95%

Conduct an Engagement Survey every two years Rank in the top 75% for Sustainably Engaged;
to reinforce core values; rank in the top 25% rank is determined by comparing results from the
for Sustainably Engaged; rank is determined by Engagement Survey Note 2 against the WTW Global
comparing results from the Engagement Survey High-Performance Norm
against the WTW Global High-Performance NormNote 2

Maintain position above 75th percentile among Maintain position above 75th percentile among Maintained position above 75th percentile among
industry peers in total compensation industry peers in total compensation industry peers in total compensation
Target: 75th percentile

Maintain total turnover rate between 5-10% Maintain total turnover rate between 5-10% Total turnover rate: 6.7%
Target: 5-10%

Less than 10% new hire (<1 year) turnover rate Less than 14.5% new hire (<1 year) turnover rate New hire turnover rate (<1 year): 15%
Target: ≦ 15%

Note 1: Expected to launch the TSMC Culture Refinement Plan in 2023 Exceeded Achieved Missed Target
Note 2: The Engagement Survey is issued once every two years and will be issued next in 2023. For results from the 2021 Engagement Survey, please refer to the Employee Commitment section

141
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

In 2022, the semiconductor industry continued to growth, in turn obtaining a sense of belonging publications, and various communication channels. means that all employees abide by the same core
flourish. To cater to the needs of global customers, and accomplishment. Furthermore, the Company In the fourth quarter of 2022, a core value survey values and code of conduct; and the "right people
TSMC expanded investment in Taiwan, the U.S., strives to respond to their needs through new was conducted to listen to employees' feedback on in the right positions" means that the Company is
China, Japan, etc. The Company also invested employee care measures in order to successfully the implementation of the Company's core values dedicated to helping employees unleash their full
in advanced technology R&D and expanded lower the new employee turnover rate. and relevant strengthening measures that will be potential in the right positions.
production capacity. In addition to bolstering launched in 2023. Through seminars and case
the recruitment and cultivation of local talent, Fulfill the "Commitment" Core Values studies, the Company aims to enhance employees' Strong Talent Pool
TSMC advanced to Japan, Southeast Asia, and understanding and experience of the core values, TSMC has remained true to its core values and has
Fulfill Core Values
Europe to expand global talent recruitment. In thereby ensuring that employees can grow together always strived to be a company that employees
2022, TSMC recruited 12,442 new employees TSMC's core values of Integrity, Commitment, with TSMC. can be proud of. In 2022, the total number of
worldwide, creating 7,817 premium new job Innovation, and Customer Trust were defined
global employees was 73,677, consisting of
opportunities, providing employees with by TSMC Founder Dr. Morris Chang. Chairman When it comes to talent attraction and retention,
73,090 permanent and 587 temporary employees
competitive compensation and welfare systems Mark Liu and CEO Dr. C.C. Wei expect all TSMC TSMC has always believed in "putting the right
based on employment contract type. In terms of
better than statutory requirements, as well as a employees to uphold these core values, reinforcing people with the shared vision and values in the right
employment type, 73,310 were full-time employees
safe and healthy workplace, so that colleagues are the Company's business philosophy and vision positions". "Shared vision" means that all employees
and 367 part-time employees.
willing to devote themselves to work, learning, and through internal websites, meetings, lectures, share the same vision and mission; "shared values"

Workforce Type Distribution - by Gender Unit: Number of People


Workforce Type Distribution - by Work Location Unit: Number of People

73,677 73,090 587 73,310 367 73,677 73,090 587 73,310 367
48,261 47,940 321 48,035 226 66,220 65,667 553 65,876 344
25,416 25,150 266 25,275 141 4,854 4,823 31 4,831 23
2,549 2,547 2 2,549 0
54 53 1 54 0

65.5% 65.6% 65.5% 94.2% 93.7%


61.6% 89.9% 89.8% 89.9%
54.7%
45.3%
34.5% 34.4% 34.5% 38.4%

6.6%3.5% 6.6% 3.5% 5.3% 0.3% 6.6%3.5% 6.3%


0.1% 0.1% 0.2% 0.1% 0% 0%
Employees Permanent Temporary Full-time Part-time Employees Permanent Temporary Full-time Part-time
Employees Note 1 Employees Note 2 Employees Employees Employees Note 1 Employees Note 2 Employees Employees
Male Female Total Taiwan Fabs and VisEra Asia Note 3 North America Europe Total
Note 1: Permanent employees refer to those who have signed contracts with no fixed term, as defined according to the GRI Standards Note 1: Permanent employees refer to those who have signed contracts with no fixed term, as defined according to the GRI Standards
Note 2: Temporary employees are those who have signed fixed-term contracts Note 2: Temporary employees are those who have signed fixed-term contracts
Note 3: Asia includes Shanghai, Nanjing, Japan, and South Korea

142
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

● Reinforce the Recruitment of Female proportion of women in new managers reached fabs and VisEra, the Company must consider Interns Receiving Advance Offers in
and Foreign Science and Engineering 16.3%. technological development and diversity. Therefore, Taiwan Fabs and VisEra
Unit: Number of People
Talent in addition to the recruitment of professionals and
● Recruitment Criteria recent graduates, overseas special professionals are 639
To encourage more outstanding female science
Abiding by the guidelines of shared vision and listed as a recruitment focus as well.
and engineering talent to join the Company, TSMC
continues to provide female colleagues with a values, and the basis of diversity and inclusion, TSMC
does not discriminate against candidates because
» Strengthen Internship Program
friendly and supportive workplace. In 2022, two 415
female career-sharing and interview sessions were of their gender, religion, race, nationality, or political In 2022, TSMC continued the 2021 DNA Internship 347
held, inviting female executives to share their work affiliation. TSMC prioritizes character and capability Program, which revolves around the theme of
226
over professional skills when assessing candidates. Development, Navigation, and Advance Offer to 259 240
and workplace experience to help participants better
154
understand the semiconductor industry and TSMC. All candidates must pass rigorous selection criteria provide diverse learning activities and practical
114 195
83 92
and interviews for a comprehensive evaluation project involvement such as lectures, courses, and 127
67 105
Additionally, TSMC continues to expand its diverse before they are welcomed on board. workshops to give interns the opportunity for self- 69
20 45 65
talent pool. The total number of foreign employees discovery and learning about the semiconductor 21 29
in 2022 was 1,147, accounting for 1.6% of global ● Talent Recruitment in Taiwan Fabs and industry and technologies. Outstanding interns were 2018 2019 2020 2021 2022
employees; 2.3% of new employees in 2022 were VisEra given advance offers to TSMC upon graduation, Total Interns Interns Receiving Advance Offers
foreign employees, higher than the proportion TSMC's global recruitment stresses local hiring. contributing to the success of both the students and Female Interns Female Interns Receiving Advance Offers
of foreign employees among all employees; the However, in the principal place of business, Taiwan the Company.

Number of Permanent Employees Number of New Employees Ratio of New Employees - by Gender and Age
Worldwide Unit: Number of People Unit: %
Unit: Number of People

83.4% 81.1% 79.5% 78.6% 78.0%


15.6% 18.3% 19.9% 20.8% 21.0%
12,683 12,442 1.0% 0.6% 0.6% 0.6% 0.9%

76.4 74.5
11,043 72.5 71.9
73,090 10,802 69.1
8,193
65,133
56,825
48,752 51,289
5,087 7,322
30.9 28.1
27.5 25.5
23.6
2,323 4,433

1,771
2018 2019 2020 2021 2022 2018 2019 2020 2021 2022 2018 2019 2020 2021 2022
Number of Permanent Employees Worldwide Number of New Employees Worldwide 18-30 31-50 51+ Male Female
Number of New Employees in Taiwan Fabs and VisEra
143
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

In 2022, TSMC welcomed 639 interns through job vacancy announcement channels to reach
the DNA Internship Program, of which 31% (195 more potential talents. In 2023, TSMC expects
interns) were female students. After the internship, to join forces with school resource centers and Case Study
226 interns were evaluated as outstanding and government employment service centers to
received advance offers, accounting for 35% of increase the recruitment of disabled talents.
total interns. Of them, 29% were female students. The Company also plans to launch a workplace TSMC Holds Inaugural Female Career
» Disabled Employees
experience camp for disabled interns, helping
TSMC in restructuring specific job positions for Sharing and Interview Session
In compliance with local regulations, TSMC hired the disabled. Furthermore, disabled interns
with outstanding performances will be hired World-changing innovation relies on diverse perspectives and ideas. To foster ongoing
a total of 443 employees with mild or moderate
as permanent employees. VisEra also provided innovations in the semiconductor industry, TSMC has invested resources to proactively attract
disabilities, and 109 employees with severe
vacancies for disabled candidates. However, due female technological talents to join the industry. In 2022, the inaugural female career sharing
disabilities. In light of the rapid growth of the
to the nature of job vacancies, VisEra received a and interview session was held, inviting female senior executives and engineers from fields
number of employees, TSMC has also vigorously
dearth of suitable applicants, leading to its failure related to technology to interact with female engineering college students and graduate
recruited disabled personnel. In 2022, the
to meet minimum requirement of 1% and has paid students through the lecture titled Explore Your Boundless Potential in the Nanometer World.
Company organized two recruitment briefing
subsidies according to legal regulation. Through career experience sharing, the students could understand the work and life of
sessions for disabled personnel and added
female employees at TSMC, as well as gain insights into their career development paths. The
lecture was combined with Q&As and one-on-one interviews to help female students explore
Disabled Employees – Taiwan Disabled Employees - VisEra suitable jobs, as well as increase TSMC's female employment rates.

392 394 454 513 552 3 3 5 5 5 The event saw the


participation of 107 students,
of which 67 received one-on-
one interviews. Eventually, 32
1.1 0.4
people were hired, who will
1.1 0.4 0.4
certainly demonstrate their
1.0 0.3
expertise and confidence
1.0 1.0 0.3
in the professional field
of semiconductors in the
future.
2018 2019 2020 2021 2022 2018 2019 2020 2021 2022 TSMC helps female students explore career development
Disabled Employees (persons) Weighted Ratio (%) Disabled Employees (persons) Weighted Ratio (%)

144
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

● Overseas Recruitment for Special » Assimilate Foreign Employees


Experts To help foreign employees quickly adapt to the new Case Study
To sustain the Company's diversified talent pool environment, TSMC offers assistance for relocation
and recruit talent in special fields, TSMC continues and settlement including exclusive newcomer
to recruit overseas talent around the world. In orientation, subsidies for learning Chinese, and Advance to Southeast Asia - Expand Global
terms of industry-academia cooperation, TSMC rewards for receiving Chinese certificates, so as
has closely maintained long-term connections to encourage foreign employees to assimilate Talent Recruitment
with MIT, Stanford University, UC Berkeley, Tokyo into the workplace and environment of Taiwan.
University, and other prestigious universities In addition, TSMC also invites foreign employees To expand global talent recruitment, TSMC Besides recruitment activities, TSMC also
around the world to incubate top research talents and their families to join exclusive groups such as visited Singapore and Malaysia in 2022 to visited the National University of Singapore,
and ensure early engagement with global talents the Formosa Cultural Society and Indian Culture communicate with diverse engineering talent Nanyang Technological University, University
for future recruitment. In addition to recruiting Research Society. The Company also holds cultural in the local area. Three recruitment sessions of Malaya, and Universiti Tunku Abdul Rahman
semiconductor professionals in major cities in festivals such as Thanksgiving and Indian Diwali and four face-to-face interviews were held to meet with engineering professors, hoping
the U.S., Singapore, and Malaysia, TSMC has also etc., to increase mutual communication. In 2022, to let local talent gain more insight into the to promote TSMC's internship program
expanded its scope to include European IT talents, 21 people applied for a Chinese training subsidy, semiconductor industry and TSMC. In total, and industry-academia collaboration by
attracting new talents via online briefings and and the total subsidy amount was NT$303,737. 56 people participated in the interviews, and strengthening campus relations, thereby
physical recruitment activities. 12 accepted TSMC's offer for internships or establishing long-term partnerships, cultivating
permanent employment. future talent, and introducing innovative
energy into the semiconductor industry.
Overseas Hires in Taiwan Overseas Hires in Taiwan - VisEra

281 283 285 10

178 6 6

67 3
2

2018 2019 2020 2021 2022 2018 2019 2020 2021 2022 TSMC expands hiring of global talents to inject more innovation into semiconductor industry
Overseas Hires in Taiwan (persons) Overseas Hires in Taiwan (persons)

145
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Employee Commitment Competitive Compensation Packages employee from NT$2.21 million to NT$3.25 million ● Bonus
during the same period. According to the Engagement
In 2021, TSMC introduced the Engagement Survey, TSMC provides competitive compensation packages The incentive program of TSMC facilities in Taiwan is
Survey conducted in 2021, 81% of colleagues believe
created using WTW's High Performing Employee to attract and retain the best talent, as well as reward implemented over two years. Cash bonuses are paid
that the Company provides reasonable overall rewards
Experience Model as a blueprint, to systematically employee performance and encourage long-term quarterly to provide timely incentives and profit-sharing
and compensation. This result is better than that of
learn about employees' experiences at work and contribution. Besides referring to market information is paid annually in the following year to encourage
global high-performance companies (67%) and high-
analyze the Company's advantages and opportunities of selected benchmark companies and compensation long-term service and continuous contribution. The
tech companies (66%) participating in WTW Global's
to formulate improvement measures. In 2022, three survey reports, the Company also reviews market incentive programs of overseas regions are designed
survey, indicating that besides offering competitive
improvement measures were completed. information on compensation data of the whole in consideration of local cultures and markets and are
compensation in the market, TSMC's rewards are also
industry for competitiveness analysis to develop the given out through annual cash bonuses or long-term
Engagement Survey Improvement recognized by colleagues as being reasonable.
most effective compensation strategies. one to three-year schemes. In 2022, the median total
Measures compensation of TSMC employees globally (excluding
In 2022, TSMC's revenue and profit reached a new
TSMC's compensation package includes a base salary, pension and other benefits) was approximately
Improvement 2022 Improvement record high yet again. The cash bonuses and profit-
allowances, cash bonuses, and profit-sharing schemes. NT$2.33 million, which was 1/276 of the CEO's total
Measures Measures sharing schemes allocated for Taiwan fabs were valued
In 2022, the average annual compensation of a newly compensation. The median of the CEO's annual total
Establish an open- Held CEO's communication at NT$121.4 billion, and the annual salary adjustment
graduated TSMC engineer with a master's degree at compensation percentage increase and the annual
style management meetings in Hsinchu, for 2022 also took place as planned.
system and foster a Taichung, and Tainan, and Taiwan fabs and VisEra was higher than NT$2 million. average total compensation percentage increase was
workplace of mutual expanded the scope of labor- The average compensation of direct laborers was
respect. Encourage management meetings
around 3.4:1.
higher than NT$1 million, which is four times the
employees to speak by adding Silicon Garden Compensation and Benefit Expenses
up and encourage Meeting to each organization. minimum monthly wage in Taiwan. Compared with
Unit: NT$ million
Average and Median Compensation
management Representatives were selected
the previous year, Taiwan fabs experienced year-on-
to be open to through peer voting, while
suggestions and suggestions and ideas could year growth in 2022 in terms of the number of full-time 108,215 109,985 140,817 164,947 239,462 2,425,000 3,167,000
make appropriate be submitted anonymously employees, average salary, and median salary. 2.219 2.144 2.478 2.532 3.250 1,851,000 2,435,000
responses. through representatives.
60,049
In addition to cash basis compensation, starting from Increased by 6,837people
Unleash employees' Launched physical and online 53,212
2022, regular employees of TSMC and its 100%-owned
potential, allowing courses complemented by Increased by NT$742,000
them to enjoy work diverse learning resources subsidiaries may participate in the Global Employee
and learn and grow such as internal/external Stock Purchase Program. TSMC offers a 15% stock Increased by NT$584,000
continuously to learning platforms to let
garner a tremendous colleagues enjoy learning at
purchase subsidy to encourage colleagues to purchase
sense of belonging work. company stocks and participate in the Company's long- 2021 2022
and achievement. term success. A total of 70% of colleagues worldwide Average Compensation (NT$) Median Compensation (NT$)
participate in the program. Full-time Employees (persons)
In addition to cash Launched WeCare Survey and
Note: In compliance with the regulations set forth by the Taiwan Stock
rewards, non- regular questionnaire surveys
to care about new hires.
In recent years, TSMC has continued to grow in Exchange, TSMC started, in 2020, to disclose the number of full-time
monetary incentives employees in non-executive positions, their average and median
are also used to Retention interviews were revenue and profit. The Company has increased total 2018 2019 2020 2021 2022 compensation, as well as respective differences in compensation
motivate and retain also carried out for groups at compensation and benefits for employees from around Global Employee Compensation and Benefit Expenses from the previous year. The numbers are calculated in accordance
talent. risk of resignation in a bid to with the regulations of the Taiwan Stock Exchange, which excludes
improve problems promptly. NT$108.2 billion to NT$239.5 billion between 2018 and Per Capita Global Employee Compensation and executive officers (managers) and employees eligible for exemption.
2022, and average compensation and benefits for every Benefit Expenses For those not employed by the Company for a full year, the data is
prorated, and the profit-sharing amount is on a profit-year basis,
therefore part of the compensation data is projected

146
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

● Corporate Officer Shareholding performance bonuses, and compensation paid vote has been conducted in accordance with legal management system so that employees have
Guidelines based on annual profit conditions. If necessary, procedures. In addition to the aforementioned flexibility in making use of their vacation days to take
sign-on bonuses will be offered to attract critical compensation policy, TSMC also provides a care of their children. In addition, TSMC launched
TSMC believes that the long-term ownership of
talent. Since 2021, TSMC has offered Employee retirement policy that is better than the statutory the TSMC Child Care Benefit Program in 2022 to help
company shares by corporate officers helps align
Restricted Stock Awards for corporate executives requirements of Labor Standards Act to ensure employees balance their personal and work needs.
their interests with those of all shareholders;
and critical talents to link their compensation with future viability of executive officers' retirement
therefore, the Company formulated the Corporate
shareholders' interests and environmental, social, benefits. Furthermore, in response to the In 2022, a total of 672 employees in TSMC's Taiwan
Officer Shareholding Guidelines in 2020. The
and governance (ESG) performance. In 2021 and regulations of the U.S. Securities and Exchange fabs and VisEra applied for unpaid parental leave.
required value for the Chairman, CEO, and other
2022, the Employee Restricted Stock Awards Rules Commission, TSMC will formulate a claw-back The expected number of employees to return from
corporate officers' holding of TSMC shares is
were stipulated; please refer to 4.6.1 Status of policy for the compensation of executive officers parental leave for the year was 710, of which 589
proportional to their annual base salary. Officers
Employee Restricted Stock in the Company's 2022 in 2023 to enhance corporate governance and employees returned on time or ahead of time,
shall achieve the required value within three years
annual report. According to laws and regulations, ensure the Company's financial integrity. achieving an 83% return to work rate. As for the
of their appointment and maintain the required
proposals related to the compensation of the retention rate after returning, of the 445 employees
value for the entire period of their employment.
who returned to work in 2021, a total of 375
Company and executive officers must be submitted ● Parental Benefits
to the Compensation and People Development remained at TSMC as of the end of 2022, achieving
● Executive Officer Compensation Policy TSMC provides breastfeeding and breast milk
an 84.3% retention rate.
Committee for deliberation and forwarded to the
TSMC's policies, systems, standards, and structures collection rooms to support the breast milk
Board of Directors for resolution. Matters requiring
pertinent to the compensation of executive officers collection needs of female employees. Besides, In 2022, the number of employees in TSMC's Taiwan
the approval of the shareholders' meeting will take
are stipulated and assessed by the independent providing parental leave in accordance with local fabs and VisEra aged between 20 and 64 accounted
effect after the proposed resolutions has been
Compensation and People Development laws and regulations for employees after childbirth, for 0.43% of Taiwan's population of the same
presented at the shareholders' meeting and a
Committee, including monthly salaries, quarterly the Company also offers a comprehensive leave age group. During the same time, the number of

Unpaid Parental Leave in TSMC's Taiwan Fabs and VisEra - Application, Return to Work, and Retention Rate

Employees who met unpaid Employees expected to return Employees who returned to
parental leave criteria in 2022 to work after parental leave in work after parental leave in
2022 2021
Application Rate
♂ ♀ Return to Work Rate
♂ ♀ Retention Rate
♂ ♀
9% 5,423 人 2,046 人 83% 235 人 475 人 84.3% 115 人 330 人
(672/7,469) (589/710) (375/445)
Employees expected to return Employees who returned to
Employees who applied for to work in 2022 after parental work after parental leave in
unpaid parental leave in 2022 leave and did return as 2021 and were still in service at

♂ ♀
scheduled or in advance the end of 2022

♂ ♀ ♂ ♀
248 人 424 人 187 人 402 人 97 人 278 人
♂ 4.6% ♀ 20.7% ♂ 79.6% ♀ 84.6% ♂ 84.3% ♀ 84.2%

147
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

employees' newborns was 2,368, which was 1.7% of engages an actuarial consulting firm to assess the Workplace and the online broadcast Soft Power in run of five months, the adaptability assessment score
the total number of newborns in Taiwan, an example valuation of the defined benefit plan. Please refer to the Workplace were launched. In total, 17 sessions of new employees increased from 7.4 to 7.9 points.
of the Company's outstanding benefits in mitigating Please refer to page 43-46 of the attached financial of Success for Newcomers in the Workplace were Since October of the same year, direct supervisors
the impact of sub-replacement fertility in Taiwan. report in the Company's 2022 annual report for conducted with 501 participants, achieving a post- can use the online dashboard to keep track of the
details. Thanks to the Company's sound financial class evaluation score of 97 points. For Soft Power in progress of new employees. In 2023, TSMC expects
● Solid Pension System condition, it is able to ensure the future viability the Workplace, professional psychological counselors to launch the online robot Bonnie, which can quickly
TSMC established its statutory defined benefit plan employees' retirement benefits and solid pension were invited to share with new employees how to answer new colleagues' questions and help them
and supervisory committee of labor retirement contributions and payments, which encourages regulate stress, adopt a growth mindset, as well as integrate into company life seamlessly.
reserve according to the Labor Standards Act, and employees to make long-term career plans with and coping methods for challenges and setbacks based
also set up its statutory defined contribution plan further deepen their commitment to TSMC. on their personal experience. Nearly 1,300 people Maintain Healthy Turnover Rate
according to the Labor Pension Act, which was participated in the program, achieving an average To ensure talent mobility and long-term growth,
effective starting July 1, 2005. For each region, TSMC New Employee Orientation and post-class evaluation score of 94 points. TSMC believes that a healthy employee turnover rate
also established pension plans according to local Retention should be between 5 and 10%. In 2022, the total
In addition to training, the WeCare Survey was
standards and regulations. The previously mentioned To assist new employees to retain their jobs and turnover rate was 6.7%, or 0.1 percentage points
launched in August 2022 to help new colleagues
supervisory committee not only holds quarterly quickly fit into the workplace, orientation training lower than that of 2021. The new hire turnover rate
adapt to the workplace within one year of joining
meetings but also supervises affairs in connection was launched in 2022 for newcomers who have (<1 year) was 15.0%, or 2.6% lower than that of
the Company. The survey includes dimensions such
with labor's retirement reserve fund. To meet legal worked for at least four months but less than one 2021, indicating that new employee care measures
as self-efficacy, role clarity, social interaction, and
requirements for disclosure of financial reporting year. Inspired by the theme of workplace ecology, implemented in 2022, such as the WeCare Survey,
understanding of the culture. Feedback is collected
and ensure sufficient funding levels, TSMC makes workplace communication, and stress regulation, new employee orientation training, etc., helped retain
regularly every month and systematically analyzed
contributions based statutory requirement and also the physical course Success for Newcomers in the employees.
to provide necessary assistance on time. After a pilot

Newborns in TSMC's Taiwan Fabs and VisEra Historical Turnover Rates Turnover Rate - by Gender and Age
Unit: % Unit: %

181,601 177,767 165,249 153,820 138,986 11.4 7.1


17.6 4.3 6.0
2,682 2,531 2,469 2,686 2,368
15.7 7.0
15.0
1.7 1.7 13.4

1.5 1.5 11.0


1.4

6.8 6.7
4.9 5.3
4.5 6.7 6.5
4.8 5.1
4.3

2018 2019 2020 2021 2022 2018 2019 2020 2021 2022 Age Gender
Newborns in TSMC's Taiwan Fabs and VisEra Newborns in Taiwan New Hire Turnover Rate (<1 year) Note 18~30 31~50 51+
Newborns in TSMC's Taiwan Fabs and VisEra (%)/Newborns in Taiwan Total Turnover Rate Voluntary Turnover Rate Male Female
Note: Starting from 2021, the new hire turnover rate (<1 year) includes 148
data from VisEra
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Talent Development
Strategies 2030 Goals 2023 Targets 2022 Achievements

Equip People with Future


Capabilities
Prepare employees with the skills Fill over 80% of manager positions through internal Fill over 80% of manager positions through Filled 88.6% of manager positions through internal
for the future and build a talent promotions internal promotions promotions
pool Target: ≧ 80%

Fill over 50% of vacancies through internal Fill over 50% of vacancies through internal Filled 57.6% of vacancies through internal transfers
transfers transfers Target: ≧ 50%

90% completion of the talent pipeline within three Review 80% of the talent pipeline for fab Reviewed 69% of the talent pipeline for fab directors/
years for fab directors/directors directors/directors directors Note

Target: 80%

Unleash Employees'
Potential and Innovation
Enable self-learning and create Achieve an annual average of 100 hours of learning Achieve an annual average of 75 hours of Achieved an annual average of 69.5 hours of learning in
positive impact to the Company in employees learning in employees employees
and the society Target: 50 hours

Note: In 2022, the organizational structure was adjusted to meet operational needs, and 88 new organizations were established, lowering the talent pipeline's review rate Exceeded Achieved Missed Target

149
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Employees are the most important asset of TSMC. with Future Capabilities as well as Unleashing Equip People with Future Capabilities
Besides encouraging colleagues to learn constantly Employees' Potential and Innovation based on
and unleash their talents, TSMC also emphasizes the core attributes, in turn developing an ability-based
To accommodate the needs of operational growth, Ability-Based Learning Program
TSMC values the pre-training of employee capabilities
cultivation of their skills and ongoing development. learning program and a diverse and versatile The TSMC Capability Model centers on the Core
and talent pipeline management. This is to ensure that
Consequently, the Company integrates internal learning approach. Complemented by various Attributes to define Functional Capabilities according
when there is a mission, positions can be filled with
and external resources to provide employees with training and development programs at all levels, the to the characteristics of each organization. General
suitable talent immediately to support the Company's
a world-class workplace as well as challenging, Company aims to equip colleagues with capabilities Capabilities and Leadership Capabilities necessary
rapid development. In 2022, 88 new organizations
meaningful, and interesting jobs. In addition, the that will be needed in the future in advance, for employees are also developed. These are
were added and the review of talent pipeline for fab
TSMC Employee Training and Education Procedures actively implement comprehensive talent pipeline divided into two categories, Managing Work and
directors/directors reached 69%. Through the talent
have been formulated. In 2022, the TSMC Talent management, and enable employees to engage Managing People/Organization, and they are further
pipeline, the Company can systematically formulate a
Development Model was formulated to materialize in lifelong learning with goals, plan and discipline, divided into three levels: basic, intermediate, and
detailed talent development plan, focus on operational
the two major strategies of Equipping Employees thereby becoming a force to uplift the society. advanced. This is complemented by organizational
needs, and complete the Company's talent pool.
development diagnosis to offer a series of learning
To take fab director talent as an example, the one-
and development plans.
TSMC Talent Development Model year Operations People Development Program
(OPDP) emphasizes the four major learning themes
of Problem Solving, Strategic Thinking, Systems TSMC Capability Model
Thinking, and Change Management. Through group
learning, application case studies, experience sharing
by vice presidents and senior fab directors, as well as
mentoring and other plans, TSMC strives to enhance
TSMC
Company the knowledge of fab directors and train all-round
Vision
Be the most advanced
Sustainability talent. The participation rate was 100%.
and largest IC foundry
services provider In terms of manager talent pipeline management, the
Leadership
updated New Manager Program was launched in 2022. Capabilities
Equip People Unleash The program was attended by 1,255 persons, achieving
with Future Employees' Potential Talent a participation rate of 97% and a cumulative total of
Capabilities & Innovation Development 7,300 person-times. The Operation Engineers Training
Prepare employees with Enable self-learning and Strategy
the skills for the future and create positive impact to Committee (OETC) also plans training for engineers with
Core
build a talent pool the Company and society different jobs and levels, builds capability stages and Attributes
learning roadmaps, and provides courses in regulations,
Ability-based Diverse & Versatile Training & General Functional
Learning Program Learning Approach Development Learning technology, management, and personal effectiveness
Capabilities Capabilities
Programs at All Momentum to equip employees with the necessary skills for future
TSMC People Development 70-20-10 principle,
Framework & organization blended learning, & AI Levels Cultivation development. The program was attended by 48,000
development diagnosis adaptive learning Systematic learning roadmap persons, achieving a participation rate of 100% and a
cumulative total of 380,000 attendees.

150
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Diverse and Versatile Learning Approach


By applying the 70-20-10 rule, TSMC provides employees with specific development needs in three dimensions: Experience Learning, Feedback and Guidance, and Education and Training. Also, in combination with blended learning,
employees are encouraged to apply the learning results to work and life in order to improve their performance and self-efficacy.

70-20-10 Rule 2022 Learning and Development Activities

Experiential Learning Feedback and Coaching Education and


Training

70% 20% 10%

Dual track development of management and professional Internal Promotions, Buddy System Training Program
technical competencies Transfers, and Rotations ● 12,442 new employees expedited their understanding of the ● Provide diverse
● Employees continue to accrue management and professional technical Passing on organizational knowledge corporate culture through the buddy system and continued learning resources on
experience based on organizational needs and personal expertise to learn through internal talent mobility to offer feedback and suggestions during their employment leadership, functional,
and general capabilities
from work tasks and enhance their competencies ● 88.6% manager positions filled Mentor System in accordance with job
through internal promotions titles and expertise
● Employees are encouraged to learn from outstanding
Transfers and Rotations in 2022 ● 30.5% and 26% of the transfer senior employees, who can provide them with work or ● 3,708 face-to-face
and rotation rates for managers personal adaptation-related guidance trainings
Taiwan Fabs and VisEra and professionals respectively ● 8,509 online trainings
Feedback and Development on Performance
Incoming
Overseas Total ● 57.6% vacancies filled through ● Two performance management development tools are
● 2,518,073 participants
Outbound Hsinchu Taichung Tainan internal transfers provided (Goal Management and Individual Development ● An average of 69.5
Site Site Site
● 16,780 employees transferred to Plan) to encourage employees to set goals and update hours of learning per
new positions progress of implementation on a continuous basis; at the employee
Hsinchu same time, managers can review employee progress as
7,090 268 436 36 7,830
Site well as give feedback and recognition to help both parties
Taiwan effectively align work and development goals
Taichung
Fabs and 301 1,165 439 69 1,974
Site
VisEra
Tainan
516 151 4,733 14 5,414
Site

Overseas 20 20 15 1,507 1,562

Total 7,927 1,604 5,623 1,626 16,780

151
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Case Study

70-20-10 Rule + Blended Learning Helps New Managers Adapt to


Their Jobs More Quickly
As the number of employees continues to increase along with the training course to guide new managers in applying it to their work via
diversification of the workforce structure and composition, the three steps. Furthermore, real-time adjustments are implemented in
management's responsiveness and functional development must response to feedback to optimize management skills at work on an
also continue to evolve. TSMC has consolidated the 70-20-10 rule ongoing basis. Please refer to TSMC's Diverse Learning Models Bolster
and the blended learning model to design a revised new manager Talent Development for more details.

Three Steps of New Supervisor Training Course

Application Application
Training Application
E-learning and and
Courses Workshop
Feedback Feedback
Thanks to plenty of practical case
studies, exercises, and feedback
Step 1 Step 2 Step 2+ Step 3 Step 3+ from lecturers and peers in the
10% education and 20% feedback and 70% experiential 20% feedback and 70% experiential revised course, I can reflect and
training guidance, 10% learning, 20% guidance, 10% learning, 20%
make progress. When I encounter
Use the online education and feedback and education and feedback and
learning platform training guidance training guidance problems, I can apply this know-
to learn basic Learn and practice case After the course, Practice and discuss Apply the acquired how quickly to resolve them.
management study scenarios in the receive regular learning practical management management skills to
knowledge before face-to face-training resources for self-study issues and adjust work, and continue to
Trainee
class training and reinforce and collaborate with management skills improve in response to
TSMC's New Manager
management skills online learning groups through real-time feedback from direct
Training Program
through feedback from to apply knowledge to feedback from lecturers supervisors; the lecturers
lecturers and peers work; moreover, lecturers and peers in the face-to- will also continue to
regularly engage in one- face workshop provide one-on-one
on-one interviews and consultation to bolster self-
discussions with trainees management capability

TSMC New Manager Training Program


152
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Training and Development Programs at All Levels Unleash Employees' Potential and
TSMC conducts talent development based on the TSMC Capability Model and designs learning courses based on grade and expertise. In 2022, training and development plans Innovation
for employees at all levels were completed and launched progressively. TSMC offers a wide range of learning resources
to provide colleagues with learning content and
approaches that cater to the needs of individuals
New Professional Section Department Director & Overseas and organizations, hoping to improve their work
Buddy Team Leader Supervisor
Employee & Assistant Manager Manager VP Fab performance and self-worth and engender a positive
impact on the Company and society. In 2022, face-
New to-face and online training were launched along
Personal Senior Language &
New Employee Team Leader Supervisor New Manager Department
IDL Buddy Training Effectiveness Manager Culture with internal and external learning platforms, and
Training Program Program Program Manager
Program Program Program employees' average annual learning hours reached
Training
69.5 hours, an increase of 42% compared with the
Manager Experienced New Fab previous year. The total training cost was NT$968
New Employee
Elective Manager Director million, equivalent to NT$13,000 per employee, an
Workplace
Program Program Program increase of 5.6 times relative to the year before.
Program

TSMC measures the outcome using the four levels of


600+ Internal Learning Platform Resources Critical Management Skill evaluation of the Kirkpatrick Model: reaction, learning,
Program
behavior, and results. In 2022, TSMC conducted
16,000+ External Learning Platform Resources
reactive evaluations for all courses hosted by
Organization Planning and Development Office. The
Self-learning, English Training and Safety Training course design, lecturers, administrative services, and
overall satisfaction level were evaluated. Employees
gave a score of 96 for their overall recommendations.
In terms of course effectiveness, learning and behavior
Technician Leader Principal Leader Overseas Fab evaluations were completed for the majority of on-
the-job training held at the organization level, allowing
learners to understand and apply the training to
DL work; the outcome evaluation was based on the staff
DL Program Leader Program Principal Leader Program Language & Culture Program performance management and development system,
demonstrating the training benefits by achieving the
performance goals.

153
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Average Training Hours per Person - Average Training Hours per Person -
Kirkpatrick Model by Job Function by Gender

5.7 8.4 8.9 13.5 27.0 18.2 52.4 75.3


15.1 19.0 21.0 63.1 79.0 9.6 34.5 58.3
5.4 5.6 6.9 20.3 64.6
Response Learning Behavior Outcome
Evaluation Evaluation Evaluation Evaluation

Level 1 Level 2 Level 3 Level 4


2018 2019 2020 2021 2022 2020 2021 2022
● After the class, ● The effectiveness of ● After the training, ● Commercial Manager Indirect Labor Direct Labor Male Female
trainees evaluate trainees' learning is the supervisors benefits of training
the course content assessed via tests, and colleagues
design, lecturers, practices, exercises, of the trainees
administrative homework, action observe whether
Historical Training Index
services, and plans, etc. the trainees have
satisfaction with applied the newly 5,077,993
the overall course acquired knowledge
benefits to their work

2022 Achievements 3,185,784


2,518,073
2,242,971
Course Degree of Learning roadmap Filled 88.6% of
recommendation: completion of training program manager positions 1,005,260
96 points the post-course post-training through internal 741,178
test for trainees assessment promotions 551,752 926,379
of operational completion: 100 % 700,482
organization (taking the learning Filled 57.6% of 540,408 2,302
1,862 2,003
certification training: blueprint training vacancies through 1,694 1,680
82.7%Note1 plan organized internal transfers
by the Operation
Annual customer 93 93 94 94 96
The average score Engineers Training
of the post-course Committee as an satisfaction of
test of trainees: example) 83.9% 2018 2019 2020 2021 2022

98.7 points Note2 Trainees Who Completed Training Total Training Hours Certified Internal Instructors
Evaluation Score on Course Satisfaction
Note 1: Taking the degree of completion of advanced TSMC fabs and on-duty certification of the operational organization as an example Note: Starting in 2022, certified internal instructors who obtained repeated certifications will be counted only once
Note 2: Taking the course conducted by the Organizational Planning and Development Office as an example

154
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Diverse Learning Resources and Channels Cultivate Internal Instructors & Excellent
TSMC's growth is closely tied to the learning and Instructor Award
development of employees. Besides education and Talent is one of TSMC's key strategies for bolstering
training courses that have significant relevance to its competitiveness, and internal instructors have As senior employees of TSMC, we are For me, being a trainer entails sharing my
professional technology and functions, the Company become an important impetus for facilitating the obligated to pass on the experience and experience with trainees; the enthusiastic
applies skills as the foundation of development in order Company's talent cultivation through the sharing heritage to uphold TSMC's technological feedback of trainees enables me to
to cultivate employees' knowledge on an ongoing of personal knowledge and experience. Established leadership. examine my work and teaching from
basis and strengthen the awareness of self-learning. 16 years ago, the Excellent Instructor Award has diverse angles and perspectives.
Combined with the internal learning manual and recognized excellent internal instructors each year,
T.C. Luo I.W. Chen
external learning platform, TSMC launched more than hosting Trainer Power-Up Camp, inviting external
Awardee of the Excellent Instructor Award Awardee of the Excellent Instructor Award
16,000 diverse learning resources including online trainers to share knowledge in the training field;
micro-classes, audio-visual storytelling, reading articles, at the same time, encouraging other outstanding
and special broadcasts for colleagues to choose from employees to join the ranks of our instructors and
at will. In 2022, over 152,279 colleagues partook in the share their knowledge and experience for more
program, accumulating 14,413 learning hours and efficient training. In 2022, TSMC employees were
achieving an overall satisfaction score of 93 points for trained over 300,000 times cumulatively with the
the internal learning resources. help of over 1,680 internal instructors.

● No. of internal learning platform


Resources resources: 600+
● No. of external learning platform
resources: 16,000+

Platforms ● Internal learning platform


Self-Learning ● External learning platform

● Resource promotion (online


Curation promotion/live broadcast events)
● Extended learning resources after
training

2022 TSMC Excellent Instructor Award Ceremony


155
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Human Rights
Strategies 2030 Goals 2023 Targets 2022 Achievements

Enforce the TSMC


Human Rights Policy
Comply with the Maintain Human Rights Related Issues in all fabs Maintain Human Rights Related Issues in all fabs Maintained Human Rights Related Issues in all fabs that
United Nations Guiding that implement the Validated Assessment Program that implement the VAP of the RBA at a zero- implement the VAP of the RBA at a zero-missing level
Principles on Business and (VAP) of the Responsible Business Alliance (RBA) at missing level Target: Zero-missing
Human Rights (UNGPs) a zero-missing level
to monitor material
human rights issues and
minimize relevant risks
Optimize training and management systems to Organize a training program on TSMC Human Organized a training program on TSMC Human Rights
support zero sexual harassment, zero workplace Rights Policy: Say No to Sexual Harassment and Policy: Say No to Sexual Harassment and Build Up a Friendly
bullying, work hour management, human rights of Build Up a Friendly Workplace Workplace
disabled employees, and proprietary information
protection - Completion rate >95%
- Completion rate 97%
Target: 95%
- 100% pass rate in post-class tests
- 100% pass rate in post-class tests
Target: 100%

Exceeded Achieved Missed Target

156
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

TSMC adopts the RBA Code of Conduct and the UNGPs Enforce the TSMC Human Rights Policy
approved by the United Nations Human Rights Council
TSMC regards the Human Rights Policy as the dimensions―Labor Rights, Environmental Rights, major axes of Severity and Likelihood, as well as to
to manage the human rights issues of its operations,
overarching principle of human rights governance and Voice & Participation, Gender Equality, Product evaluate the potential impact of the human rights
suppliers, and business partners, as well as formulate
aligns with international sustainability practices. As Development, Advertising, Use of Products & Services, issues on the past, the present, and the future based
the Human Rights Policy. Furthermore, TSMC abides by
the scope of human rights-related issues is extensive, and Governance & Security―with 99 indicators. This on the three major factors of Scale, Scope, and
the local laws and regulations of the global regions in
the United Nations Development Programme (UNDP) is complemented by a human rights risk matrix to Irremediability.
which it operates and stipulates clear implementation
proposed a human rights framework with six major identify salient human rights issues along the two
guidelines for various human rights concerns in an
effort to minimize human rights risks.

Human
99 Human Rights Human
Salient
Rights Impacts Rights
Issues
Impacts Evaluation Indicators

Dimensions

Likelihood
● Wages and Workhours ● Work-overtime, Payment for extended hours
Labour Rights ● Health and Safety ● Workers' safety and health
● Terms of Employment ● Heavy use of contractors or outsourced labour
● Workplace ● Employee discrimination, Diverse-gender working
Environmental Rights Discrimination environment, Recruitment criteria for foreign workers
● Pollution and Chemicals ● Water resource of communities, Bio-diversity impacts,
Voice & Participation ● Sexual Harassment Impacts on human health
● Gender-Based
● Sexual harassment in working environment
Discrimination ● Pregnant or breastfeeding female workers face
Gender Equality ● Privacy health(reproduction) risks
● Safety
● Improper use of personal data, employees'/workers'
Product Development, Advertising, privacy rights
● Product Testing
Use of Products & Services ● Install safety equipment and facility for workers in
● Marketing, and dangerous working conditions
Severity Intellectual Property
Governance and Security (Scale, Scope and Irremediability) Rights
● Product tests or productions cause harm/risk to human
health
● Customers' personal data protection and use

Define Human Rights Impacts Salience Impact Analysis Human Rights Indicators Affecting Salience Analysis

157
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

In 2022, TSMC launched the survey of salient reports of various organizations, and ESG issues were identified along with the targets the UNGPs framework to conduct due diligence,
human rights issues using the UNDP's human representatives. The importance and impact of that are impacted by the issues, including TSMC perform risk investigation and assessment,
rights framework. A total of 73 managers and various human rights indicators on TSMC and employees, employees of suppliers, employees implement mitigation and remedial measures,
colleagues participated in the identification of its value chain were measured from a cross- of contractors, customers, and the community. In launch human rights education and training,
human rights issues; the members included ESG organizational perspective. Based on the 2023, TSMC will use these results to build a cross- as well as disclose human rights management
committee members, the heads of sustainability investigation results, 11 salient human rights unit human rights taskforce, which will follow progress and goals to stakeholders.

Impacted Targets
TSMC Human Rights Management
TSMC Suppliers' Contractors'
Dimensions Salient Issues Indicators Employees Employees Employees Customers Community System

Operations managers on site are


● Wages and Workhours ● Work-overtime, Payment for extended hours
jointly responsible with senior
● Health and Safety ● Workers' safety and health executives in HR, Information
Labour Rights ● Terms of Employment ● Heavy use of contractors or outsourced labour Technology, Materials Management
● Workplace ● Employee discrimination, Diverse-gender working & Risk Management, and Legal. The
Discrimination environment, Recruitment criteria for foreign workers TSMC Facilities & senior executive in HR is responsible
Subsidiaries and for reporting major human rights
Human Rights topics in TSMC to the management
team.
Environmental ● Pollution and ● Water resource of communities, Bio-diversity
Rights Chemicals impacts, Impacts on human health The HR Organization's Human Rights
Operation Center is responsible
for human rights topics of daily
Voice & ● Improper use of personal data, employees'/ relevance to employees and ensuring
● Privacy the Company meets RBA or higher
Participation workers' privacy rights
standards by compiling and carrying
Employees and out the Measures for Human Resources
Human Rights Management System and the Internal
● Sexual Harassment ● Sexual harassment in working environment Control Procedures for Human
Gender Equality Resource Management System.
● Gender-Based ● Pregnant or breastfeeding female workers face
Discrimination health(reproduction) risks
The IT Supply Chain Management
Product ● Product Testing Section of the Information
Development, ● Product tests or productions cause harm/risk to Technology and Materials
Advertising, Use
● Marketing, and human health Management & Risk Management
Intellectual Property
of Products & ● Customers' personal data protection and use organization are responsible for
Rights
Services all human rights issues related to
Suppliers and suppliers and for managing the
Human Rights issues by compiling and carrying out
Governance and ● Safety
● Install safety equipment and facility for workers in
Security dangerous working conditions TSMC Supplier Code of Conduct.

158
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

High-risk Employees
2022 Human Rights Policy Concerns and Practices
Targets and Actions Risk Assessment Mitigation Measures Remedies Reporting Channels
All employees ● Formulate OSH Management ● Investigate whether there are any ● Quarterly meetings on occupational health ● Immediate transfer from the original post Occupational
Procedures and establish the Employee occupational diseases from chemical management were held by senior executives to ● Provide ample medical support Disease
● Please refer to Health Management Program Meeting exposure manage and control the five major safety hazards Investigation
Occupational Safety and Occupational Disease Investigation of occupational diseases across departments ● Provide compensatory leave and subsidies Committee
and Health for more
● Increase voluntary participation rate according to laws and regulations
Committee for non-statutory employee health ● Advanced medical imaging checks every five and Employee
Provide a Safe, information on special Voice Channels
plans years for new employees and non-management
Healthy, and hazards and health employees
Harassment- management
● Follow-up with employees with top 5% stress
Free ● Top 5% of employees levels by therapists and counseling services
Workplace with the highest level of
stress recorded from the ● Formulate a Sexual Harassment ● Case inquiry by the Sexual ● Offered classes to prevent harassment and ● Make necessary position or workstation Sexual
employee health survey Prevention Policy and establish the Harassment Investigation bullying changes depending on the situation Harassment
● 14 incidents verified by Sexual Harassment Investigation Committee and Ombudsman ● For severe cases, the offender will be punished Investigation
the Sexual Harassment Committee according to the TSMC Employee Reward and Committee
Investigation Committee Punishment Measures

New employees, ● Declare principles of non-discrimination ● Eliminate discrimination in the ● A total of 839 managers completed the ● Violations of the non-discrimination Irregular
Eliminate
indigenous peoples, in the TSMC Recruitment Interview workplace in compliance with Recruitment & Selection training course in 2022 principle by management shall be Business
Discrimination
women, migrant workers, Internal Control Procedures and offer internal control procedures starting punished according to internal policies on Conduct
and Ensure Equal
contract employees, Recruitment & Selection training courses from recruitment rewards and punishment Reporting
Employment and suggestions/reminders to hiring System
disabled workers, etc. ● The Recruitment Division shall re-invite
Opportunities supervisors
0 candidates into the interview process

● Comply with regulations on freely chosen ● Inquire about candidates' ● Oversaw the compliance of various procedures ● Risk prevention through the regular VAP and Irregular
employment in the RBA Code of Conduct willingness to work during the during employment through the prohibition Self-assessment Questionnaire (SAQ) from the Business
Prohibit New employees ● Establish Recruitment & Hiring Measures interview of forced labor management procedures to RBA Code of Conduct Conduct
Forced Labor to declare that TSMC does not employ ● Candidates must provide proof of eliminate child labor and forced labor-related ● If there is any evidence of forced labor, Reporting
and Child 0 forced labor and employees under the age identification for verification problems supervisors will be required to make the System
Labor of 18; detail employee rights, duties, and necessary improvements and restitute the
benefits in the Offer Letter to maintain fair rights to which employees are entitled
and transparent employee relations

All employees
● Understand employee work hours
● Formulate Management Measures for through reporting channels, fab-
● Managed and analyzed employee timesheets and ● If there is any evidence of forced labor, Employee
Please refer to Work Hours Procedure and build an level communication meetings, and provided early warnings to fab supervisors on supervisors will be required to make the Voice Channels
Manage Attendance Record System and Overtime management systems work hour management necessary improvements and restitute the
Occupational Safety
Working Time System ● Overall employee compensation is higher than rights to which employees are entitled
and Health for more ● TSMC must first obtain employee consent
information on the risks for overtime requests and provide the minimum wage and industry peers
of overworking overtime pay or compensatory leave

● Provide a variety of activities and clubs to ● Examine participation rates ● Collaborated with members of the TSMC Employee ● Conduct questionnaires to make Employee
enrich the concept of work-life balance Welfare Committee and activity organizers to improvements in the future Voice Channels
promote activities and encourage participation
Encourage All employees
Work-Life ● Offer childcare services & educational ● Increase quota for TSMC ● Optimized pay structure and offered an additional ● Move up the date to draw lots for the use Employee
Balance 0 support/services childcare facilities seven days of paid leave to attract and retain of childcare facilities. This will allow those Voice Channels
outstanding kindergarten teachers who did not draw a slot to have adequate
● Strengthened STEAM education at the TSMC time to find other childcare facilities
kindergarten ● Offer competitive compensation and
benefits to kindergarten teachers

● Require suppliers to comply with and ● Establish a due diligence framework ● Suppliers of products containing tantalum, ● Suppliers will be asked to terminate Reporting
Responsible All suppliers sign the TSMC Statement on Responsible in compliance with the Model Supply tin, and tungsten, and gold were required to sourcing if there is evidence of sourcing Channels for
Sourcing of Minerals for products Chain Policy for a Responsible Global collaborate with more than one compliant smelter from non-compliant mines Supply Chain
Mineral
0 containing tantalum, tin, tungsten, and Supply Chain of Minerals from Employees
Sourcing gold Conflict-Affected and High-Risk Areas
by the OECD 159
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Human Rights Protection Training due diligence in compliance with the RBA Code SAQ Assessment VAP Score
Scores
Programs of Conduct to ensure that TSMC either meets or
2022 2016-2018 2019 2020 2021 2022
exceeds the standard. Taiwan
In 2022, TSMC launched a wide range of human
rights protection training programs on fab ESH, Corporate HQ 97.3 - - - - -
TSMC uses the RBA SAQ as a template to identify
emergency response, first-aid training, and a friendly
the practices, risks, and management systems of Fab 2 92.6 - - - -
workplace. TSMC offered a total of 205,342.1 training
the four major aspects of labor, health and safety,
Fab 3 91.9 - - -
hours to 70,008 employees, which was 95.7% of
environment, and ethics in business operations. In
all TSMC employees. A total of 190,312 employees Fab 5 92.1 - -
2022, all TSMC fabs in Taiwan scored 88 or more on
attended the human rights protection training
the SAQ, which qualifies as Low Risk (85 or higher Fab 6 92.4 - - -
programs. Furthermore, TSMC launched the TSMC
is considered Low Risk). To comply with customer
Human Rights Policy: Say No to Sexual Harassment Fab 8 92.1 - - - -
requests, TSMC also commissioned a third-party
and Build Up a Friendly Workplace training program, Fab 12A 92.9 193.3 - -
institute to carry out the RBA VAP for seven TSMC
which saw the participation of 63,550 employees and
fabs, which all received full marks on the VAP. The Fab 12B 92.1 - -
achieved a 100% pass rate for the post-class test.
complete audit report will be disclosed to customers
Fab 14A 92.2 182.4 - - -
and related stakeholders on RBA-Online.
Risk Assessment & Management Fab 14B 92.9 - -
As a full member of the RBA, TSMC conducts Fab 15A 92.6 - -
Fab 15B 93.4 - -
Fab 18 92.4 - - -
Human Rights Protection Training
Advanced Backend Fab 1 93.5 - - - -
43,923 48,763 55,031 62,822 70,008 Advanced Backend Fab 2 93.6 - - - -
75,903 92,971 107,057 157,076 190,312
57,885 116,907 93,822 181,314 205,342 Advanced Backend Fab 3 95.5 - -
Advanced Backend Fab 5 91.6 - - - - -

Subsidaries

VisEra 93.6 - - - - -
TSMC (China) 93.0 - - - -
TSMC (Nanjing) 91.5 - 196.5 - - -
WaferTech 88.7 - - - -

2018 2019 2020 2021 2022 SAQ: Low Risk (≥85) Medium Risk (≥65 & <85) High Risk (<65)
Attendees (by number of people) Attendees (by attendance) Training Hours VAP: Full marks(200)
160
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Employee Voice Channels and Case Management


TSMC values employee opinions and interests. meetings, employee opinion surveys, Silicon executive appointed by the CEO, the whistleblower their opinions through any of the aforementioned
To protect the human rights of employees, TSMC Garden Meeting, and various employee feedback procedures, and the Irregular Business Conduct channels, and the Company can also respond to
has constructed a robust system for employee channels such as employee suggestion boxes, the Reporting System available to external parties the opinions collected as well as conduct necessary
feedback that includes face-to-face communication Ombudsman System managed directly by a senior on the TSMC website. Employees may express interviews and investigations.

Internal Communications Structure Employee Voice Channels

Internal Communications Structure

Channels Person in Charge Ombudsman Employee Opinion Whistleblower Fab Caring


System Box Procedures Circle
● Chairman's/CEO's Major management Various issues in TSMC Accounting & legal issues Various Issues in Fabs
Communication Meeting missteps, workplace Person in Charge: Person in Charge: Audit Person in Charge:
● Silicon Garden Meeting violence, and financial ECR Director and Risk Committee Chair Fab Directors
(labor-management meeting)
Managers of audit issues
Face-to-Face All Levels
● Communication Meetings in Person in Charge:
Meetings Individual Functions/Divisions VP assigned by CEO
● Functional Activities

● Employee Portal
● Employee Survey Employee
Human Voice
Employees Board of
● HR Area Service Team Resources Channels
Directors and
● eSilicon Newsletter Management
Team

● Ombudsman System
● Employee Opinion Box
● Whistleblower Procedures
Sexual Harassment Irregular Business Employee Voices for Silicon
● Fab Caring Circle System/ Investigation Committee Conduct Reporting Garden Meeting
● Sexual Harassment Committee Chair/ (labor-management meeting)
Employee Investigation Committee Vice President Sexual harassment Poor business conduct General issues within an
Voice ● Irregular Business Conduct
Channels Person in Charge: Person in Charge: organization or important issues
Reporting
Legal Deputy Director Ethics Committee Chair answered through other channels
● Employee Voices for Silicon that must be addressed again
Garden Meeting (labor-
management meeting) Person in Charge:
Each Silicon Garden Meeting Chair

161
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

In 2022, TSMC's internal communication channels ● The Sexual Harassment Investigation Committee what constitutes sexual harassment in the annual In 2022, to promptly listen to the voices of employees,
handled a total of 4,818 cases of employee verified 14 cases of sexual harassment; the sexual harassment prevention courses. Moreover, on top of the 13 existing fabs, TSMC went beyond
opinions and complaints, including 19 through the perpetrating employees were punished according the Company has reinforced the explanation of legal requirements and subdivided non-fab
Sexual Harassment Investigation Committee, 251 to the severity of the situation, and the informers the new Stalking and Harassment Prevention Act colleagues into ten labor-management meetings with
through the Ombudsman System, one through the were offered assistance programs including but in 2022, reminding employees that continuous or Organizations as the unit. Furthermore, the labor-
Whistleblower Procedures, and 83 cases through the not limited to psychological counseling. As the repeated surveillance, observation, stalking, and management meetings were renamed Silicon Garden
Irregular Business Conduct Reporting System. All cases involved unwanted attention and verbal/ other behaviors constitute elements of harassment. Meetings to bring the Company and employees
cases reported by employees were processed and physical harassment, TSMC has added a section closer, and electronic voting was implemented to
addressed immediately and confidentially. on the different forms of sexual harassment and ● There were four violations of business ethics and elect representatives from various organizations.
all employees have been punished or dismissed Additionally, a feedback channel for Silicon Garden
Cases Reported through Employee Voice Channels in compliance with the Company's regulations. Meetings has also been created, allowing employees
TSMC continues to advocate important business to entrust organization representatives to voice their
2,956 3,151 3,192 2,831 2,899 ethics concepts in relevant training programs. In opinions at labor-management meetings and convey
589 643 906 1,297 1,565 2022, 67,922 employees participated in the annual their suggestions 24 hours a day. Such information
3 4 4 14 19 business ethics and regulatory compliance training is sent to the relevant units through the system
106 141 171 231 251 (including Personal Data Protection Act-related immediately for them to respond.
1 5 5 4 1
contents), achieving a 99.9% completion rate.
43 59 70 92 83
Long-term Commitment
Freedom of Association and Labor-
To realize the Human Rights Policy, TSMC has
Management Meetings
4,348 4,469 4,818 implemented the VAP of the RBA in all fabs. The
To create a communicative workplace and enforce Human Rights Related Issues have reached the
3,698 4,003 14 an open-style management system, offer diverse faultless level as well as better governance and
11 internal communication channels, and respect training on zero sexual harassment, zero workplace
employees' freedom of association, TSMC fabs in bullying, work hour management, human rights of
Taiwan regularly host labor-management meetings disabled employees, and proprietary information
6 4
for 89.9% of the Company's global employees in protection. TSMC launched new training programs
4 4
3 compliance with local laws and regulations. TSMC on Say No to Workplace Harassment, Workplace
2 2
management appoints a representative to explain Bullying, and Proprietary Information Protection in
1 recent developments in TSMC operations to employee
0 0 0 0 0 2022 to educate management and employees about
2018 2019 2020 2021 2022 representatives. At the meetings, management and human rights. TSMC will also assemble a task force
Fab Caring Circle Employee Opinion Box Verified Cases: Sexual Harassment employee representatives discuss topics of concern of experts to inspect processes and advise better
Sexual Harassment Investigation Committee Ombudsman System Verified Cases: Discrimination in an attempt to strengthen communication between practices for a concrete work plan on annual human
Whistleblower Procedures Irregular Business Conduct Reporting System Verified Cases: Irregular Business Conduct management and employees. rights protection plans from 2023 to 2030.
Note 1: The figures for Ombudsman System, Sexual Harassment Investigation Committee, Irregular Business Conduct Reporting System, and Employee
Opinion Box cover all TSMC facilities, while the figure for Fab Caring Circle covers only TSMC's Taiwan fabs
Note 2: Cases reported through the Irregular Business Conduct Reporting System are from external parties and internal employees

162
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Occupational Safety and Health


Strategies 2030 Goals 2023 Targets 2022 Achievements

Promote Safety
Culture
Advocate for a humanistic Incident Rate per 1,000 Employees: <0.20 Incident Rate per 1,000 Employees: <0.2 Incident Rate per 1,000 Employees: 0.145
safety culture, manage Target: <0.2
safety risks, and establish
an intrinsically safe Disabling Injury Frequency Rate (FR): <0.3 Disabling Injury Frequency Rate (FR): <0.4 Disabling Injury Frequency Rate (FR): 0.27
working environment Target: <0.4

Disabling Severity Rate (SR): <3 Disabling Severity Rate (SR): <4 Disabling Severity Rate (SR): 3
Target: <4

Provide Comprehensive
Health Management
Prevent occupational 0 case of occupational disorders caused by exposure 0 case of occupational disorders caused by 0 case of occupational disorders caused by exposure to
diseases and promote to chemicals exposure to chemicals chemicals
comprehensive health Target: 0
management for
Employees with abnormal blood lipids, blood Employees with abnormal blood lipids, blood Employees with abnormal blood lipids, blood pressure,
employees
pressure, and blood sugar: ≦ 11%, 13.5% and 2.5% pressure, and blood sugar: ≦ 11%, 13.5% and 2.5% and blood sugar: 9.2%, 10.8%, 1.8%
Target: <11%、13.5%、2.5%

Employees with reported high-stress levels: <9% Employees with reported high-stress levels: <9% Employees with reported high-stress levels: 8.1%
Target: <9%

Build Internal-
External Alliance
Collaborate with external Assist all high-risk contractors to obtain ISO 45001 Assisted 75% of high-risk contractors to obtain Assist 65% of high-risk contractors to obtain ISO
parties to establish a safer certification for occupational safety and health ISO 45001 certification for occupational safety and 45001 certification for occupational safety and health
working environment management systemNote health management system management system
across the supply chain Target: 65%

Note: The number of contractors engaged in high-risk operations increases each year, hence TSMC will continue to offer assistance Exceeded Achieved Missed Target

163
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

TSMC upholds the mission of Safety and Zero the health of workers. Externally, the Company separate TOSHMS certifications, where workers are In 2022, the number of contractors visiting TSMC
Accidents to monitor safety and health topics, collaborates with industry, government, academia, requested to observe the management regulations reached a new record of 49,628 people per day. In light
develop digital disaster prevention measures, as well and supplier/contractor partners to prevent and through the management system. In response to the of the improving pandemic situation and to commend
as control and monitor safety and health-related lower the impact of occupational disasters, as well as continuation of the COVID-19 pandemic, pandemic outstanding contractors, supervisors, and industrial
risks through artificial intelligence to build the best to improve the safe and healthy work environment of prevention policies including stringent access control, safety personnel, TSMC hosted a Communication
healthy workplace. Internally, the Corporate ESH the industry chain. reporting, and rapid case investigation mechanisms Meeting for ESH Supervisors of Contractors. Moreover,
Division is responsible for formulating the company- were proposed to reduce manpower and case the latest revision of the Blue Book for Contractors'
level occupational safety and health management TSMC's Fab 12B Phase 8, Fab 18B Phase 1 to 3, and investigation time, as well as to control group Safety, Health and Environmental Protection was
strategies and targets. Through a clear division of Advanced Backend Fab 6, which were completed activities. Furthermore, benefits such as employee published to help contractors further understand
labor between various organizations within the in 2021, obtained ISO 45001 certification for vaccination or family care leave were established, and safety and health codes, so as to strive towards
Company, the division is in charge of promoting safety occupational safety and health management system a group operation model was adopted based on the the target of "zero incidents and zero occupational
and health at all levels. Furthermore, the Occupational and TOSHMS certification in 2022. The remaining content and needs of the work involved. During the accidents."
Safety and Health Committee convenes regularly to fabs and TSMC (China), TSMC (Nanjing), WaferTech, peak period of the pandemic, the maximum single-
make sure safety and health management control and VisEra have all obtained ISO 45001 certification. day manpower loss was controlled below 2.9% to
are implemented in different fabs in a bid to promote At the same time, fabs in Taiwan will apply for maintain normal operations.

Collaborate with External


Internal Control for Safety and Health Corporate ESH Division Stakeholders to Reduce Occupational
Safety and Health Risks
Formulate corresponding blueprints, management
All Departments protocols, and SOPs
Collaboration and Execution
● Formulate policies, targets, and solutions
● Create and update environmental safety and health-related management
Wellness Center ● Health care ● Early warning for
procedures, standards, or technologies, and establish performance
Advance health ● Employee assistance occupational diseases
indicators in conjunction with the environmental safety and health promotion
promotion ● Health promotion management units in the fabs Government, TSIA & SEMI
● Oversee various departments to identify and control occupational safety and Organizations
Facility Department health risks, and conduct annual audits on environmental safety and health Collaborate to build a healthy and
● Construction Site Guidelines for Behavior-based Safety
Promote health and ● Environmental Safety Management on Construction Sites
risk management and regulatory compliance in the fabs safe workplace
safety measures at ● Convene quarterly coporate-level Occupational Safety and Health Committee
● Construction site epidemic prevention & management
new facilities meetings
● Communicate company-wide environmental safety and health regulation and
Fab-level Industrial ● Prevent physical, chemical, ● Convene quarterly fab-level policy-related discussions with external stakeholders; represent the Company
ergonomic, and work-related Occupational Safety and Health to participate in domestic and overseas environmental safety and health
Safety and
diseases in the fabs Committee meetings regulation and policy-related meetings
Environmental
● Assess and improve safety and ● Manage contractors ● Create a sustainable supply chain in conjunction with the Procurement,
Protection Division health-related risks ● Assist subsidiaries in developing Quality, and Reliability Department
Promote safety and ● Formulate job hazard analysis, regulations for environmental ● Spearhead the Occupational Disease Investigation Committee to implement Suppliers and Contractors
health-related exposure assessment, and safety and health and set
activities occupational disease prevention throughout TSMC
control-related measures execution targets Improve safety and health
● Formulate and promote fab-
● Collaborate with health promotion units to devise health promotion goals for across the supply chain through
● Spearhead fab-level ● Support the emergency
related matters according to workers guidance and collaboration
occupational disease response of leased or
the fab-level environmental prevention investigation and borrowed fabs or offices ● Establish management rights and responsibilities for the leasing and
safety and health policy health promotion borrowing of facilities outside the fab area, as well as the risk identification of
compliance with environmental safety and health regulations
164
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Safety and Health Measures


SPI Taiwan Overseas
Indicator Fabs VisEra
Fabs
Measures Safety and Health Efforts in 2022

Regulatory Updates ● Kept up to date with the latest regulations, tracked compliance in all fabs, and issued 11 changes to safety and health regulations Note 1
● In response to demands for overseas expansion, new facilities for the U.S. and Japan are currently in the construction stage. A regulatory database of U.S. federal/state laws
and Japanese laws is being compiled and overseas legal counsel is hired

Standardized ● Continued to gather opinions from relevant workers every year and reviewed and revised 56 management processes
Management Procedures

Safety and Health ● Continued to promote safety and health training to ensure all employees and contractors have passed related training. Training records are digitalized for record-keeping to comply
Education with safety and health regulations and emergency response needs. Operators of hazardous operations have obtained licenses to operate in compliance with relevant laws Note 2
● Digitized 59 safety and health management programs and introduced the training/teaching materials on the cloud platform for management, thereby comprehensively
improving the professional skill of dedicated safety and health engineers NEW
● Three dangerous operations including wall demolition, high-pressure waterjet, and electricity opening were added to the contractor's industrial safety certification training program NEW
● All of TSMC's workers have completed hazard notification/education
● Newly added hours of emergency response training and safety and health education for middle-aged and elderly workers to enhance their safety awareness. All training
programs are included in the annual compulsory program, and the electronic personal learning blueprint is utilized to track their completion rate NEW

Hazard Identification and ● Conducted workplace hazard identification, safety and health management plans, workplace analysis, workplace observation and operational safety analysis, and health
Assessment management analysis for employees and contractors. All identified risks were classified into different risk levels for future management, tracking, and monitoring to control,
prevent, or reduce hazards and risks; 32,874 cases of hazard assessment were carried out Note 3

Procurement ● Continued to reinforce the fire protection of wet process machines. It is clearly stipulated in the machine procurement specifications that machine cavities must be made of
Management non-flame retardant materials to minimize smoke damage caused by the burning of plastic materials and the risk of fire spreading in the event of a fire NEW
● Formulated safety procurement regulations for auxiliary equipment such as step stools

Change Management ● Completed 5,069 cases of change management with zero related incidents

Chemical ● All new chemicals underwent safety review processes before entering facilities. In 2022, 231 chemicals were evaluated and introduced with zero related incidents and
Management Note 4 without introducing any IARC group 1 carcinogens
● Inventoried and integrated the Chemical Management System, consolidated chemical flow and personnel exposure risk tracking, and developed a chemical safety data
sheet review system in Taiwan/China/U.S./Japan that allows for searching chemical labels that comply with local regulations in the electronic system NEW

Tool Management ● Evaluated and introduced 198 new tools with zero related incidents
● Completed the testing of all ionizing radiation-exempt control machines at TSMC, and established a control process
● Devised a safety and health management process for machine components to be cleaned, machine auxiliary equipment pipelines, and scrapped components NEW

Contractor Management ● Contractors engaged in a total of 638,655 constructions in the fabs, of which 62,608 were high-risk operations

Compliance Audit ● Internal audits revealed 2,279 shortcomings, which were corrected within the specified time

Emergency Response ● Created a cross-fab CCTV platform in Taiwan and a phone application that utilizes the emergency digital evacuation and roll call system. In the event of an emergency, other
fabs can assist in emergency response via the monitor screen
● Due to the greater difficulty of putting out EV fires, EV parking area safety regulations were stipulated, charging piles were removed from the underground parking area, fire
blankets were installed in various fabs, and emergency response procedures were created NEW

Occupational Injury ● Continued to hold investigative meetings to analyze employee occupational injuries and horizontally apply the improvement measures to all fabs in the hope of reducing
Prevention accidents

Note 1: In response to the construction of the fabs in Arizona and JASM, it is planned for the regulatory updates Note 3: Hazard Identification and Assessment: Foster a safety culture where employees and the Company protect each other and Completed Ongoing
platform to expand the Japanese regulations, and the plan will be extended to 2023 encourage employees to speak up and offer suggestions for occupational safety. Classification management and tracking
Note 2: 2022 Training Statistics: Trainees include both employees and contractors are used to control, prevent, or reduce hazards to cultivate a friendly and safe workplace
Note 4: TSMC Chemical Management Procedures 165
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Promote Safety Culture


Following the TSMC Safety and Health Policy, TSMC employees' safety and health awareness through most injuries are caused by insufficient safety investigation in 2022, helping to identify the
has implemented the following health and safety training, hoping to minimize the impact of awareness and failure to implement management direction and improvement measures.
measures and used the Safety Performance Index unsafe environments or conduct. An analysis of regulations. To enhance safety culture, experts
(SPI) to track performance. TSMC has strengthened employee disabling injury statistics reveals that were commissioned to conduct a safety culture

Case Study

Discover Opportunities for Continuous Improvement through the Safety Culture Investigation
Safety culture is the consensus among workers on safety awareness, emphasis, and belief in the training." Corporate ESH Division will identify potential risks in the workplace and design bespoke safety
workplace, and it is also the foundation of a safe and healthy workplace. In 2022, TSMC commissioned and health training programs; design Safety Moment programs to train seed personnel from various units;
a professional international safety culture consulting firm to design a questionnaire based on four publish new safety-related knowledge every month to elevate everyone's safety awareness; demand various
aspects: teamwork, safety communication, safety values, and organizational trust. Focusing on departments to hold monthly workplace safety and health-related problem discussions, where the seed
plants with "a disabling injury frequency rate and a disabling severity rate that have fallen below the personnel will report improvement suggestions back to the Corporate ESH Division in a bid to establish a
Company average" for three consecutive years, a frontend wafer processing plant and a backend chip company-wide safety culture.
packaging plant were chosen via sampling. The survey targets included colleagues of production and
non-production units, covering different genders, age groups, seniorities, and grades; a total of 2,568
questionnaires were sent out, and 1,776 valid questionnaires were retrieved, resulting in a response rate Five Steps to Launch a Safety Culture Investigation
of 69.2%.
Step Step Step Step Step
According to the analysis outcome, TSMC's safety culture was given a score of 4.8 points, indicating the 1 2 3 4 5
Company is transitioning from being "compliant" to "incorporating safety awareness into our values".
The top three topics of concern among the colleagues were "intrinsically safe work environment,
comprehensive and transparent safety-related reporting procedures, and a team culture of looking out
for each other". The most recognized measures were "feeling the management's high level of safety
concern, quick adoption of improvement measures, ongoing work environment optimization, and
Design an easy- Allow colleagues Interview Analyze Formulate
understanding the importance of employees' physical and mental health" The least satisfied aspects
to-understand to express their colleagues through questionnaire and improvements
were "the supervisor fails to proactively communicate safety-related topics, the employees believe that questionnaire that true opinions a consulting firm interview results and based on the
they do not have the proper ability to cope with management-related contingencies, the Company is is suitable for the anonymously to evaluate safety compare them with results of the
semiconductor through culture maturity other manufacturers analysis
advised to provide more safety awareness training." industry and allows communication to ascertain the
colleagues to fully maturity of the safety
To respond to the colleagues' topics of concern, the emphasis in 2023 will be placed on "reinforcing understand it culture
employees' day-to-day safety awareness, safety communication, and developing diverse safety awareness

166
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Safety Performance Index Historical Incidents


TSMC Safety Performance Index (SPI) is classified years to 17 incidents this year, and the incident
into four levels, including active and passive indices. 10 22 30 28 17
rate per 1,000 employees was reduced to 0.145
The active indices encourage employees to from 0.252 in 2021. When a false alarm occurs, 0.311
participate in health and safety activities while in addition to applying the 3L5W (Three-Legged 0.270 0.252
the passive index shows the number of safety- Five Whys) Tool to conduct an investigation, the
related failures, false alarms, etc. To implement Company also interviews relevant personnel,
the improvement measures for the prevention 0.145
analyzes and inspects the equipment, and tests 0.142
of false alarms, if the same false alarm occurs the materials using the false alarm reporting and
repeatedly in the same year, additional points investigation procedure. Relevant documents
will be deducted from the SPI. In 2022, blue- and records are checked, or the scene is
light indices (excellent) increased by 10.1% from reconstructed to identify the direct, indirect, and
2021 to 86%. The main improvement in the fundamental cause of the incident to actively
2018 2019 2020 2021 2022
passive indices was reflected in the decrease in discover improvement opportunities and prevent No. of incidents No. of incidents/total no. of individuals entering TSMC
false alarms from 28 incidents in the previous similar incidents from occurring again. Note: Starting from 2020, the incident rate per thousand individuals entering TSMC includes employees and contractors. Employee calculation includes fabs in
Taiwan, TSMC (China), TSMC (Nanjing), WaferTech, and VisEra; contractor calculation includes fabs in Taiwan, TSMC (China), TSMC (Nanjing), and VisEra

Safety Performance Index Chart Unit: % Historical Incidents by Type Unit: Number of Incidents / % Per Thousand Employees

89.4 88.8 70.4 75.9 85.7 0 0 0.010 0 0


5.0 7.0 12.8 12.7 8.3 0 0 0.041 0.009 0
5.6 4.2 15.8 10.5 6.0 0.028 0.147 0.104 0.099 0.077
0 0 1.0 0.9 0 0.085 0.073 0.041 0.054 0.017
0.028 0.049 0.114 0.090 0.051

2018 2019 2020 2021 2022 2018 2019 2020 2021 2022
Excellent Good Warning Alarm Gas false alarm Fire false alarm Personal injury Chemical leakage Power outage
Note: Data includes fabs in Taiwan, TSMC (China), TSMC (Nanjing), TSMC Japan 3DIC R&D Center, WaferTech, and VisEra Note: Historical incidents by type per thousand individuals entering TSMC include employees and contractors. Employee calculation includes fabs in Taiwan,
TSMC (China), TSMC (Nanjing), WaferTech, and VisEra; contractor calculation includes fabs in Taiwan, TSMC (China), TSMC (Nanjing), and VisEra

167
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

False Alarm Improvement Highlights in 2022

Reduce Fire Alarms Reduce Gas Alarms Reduce Injuries

Two false fire alarms were caused by the Three incidents were caused by damaged Nine injuries were mainly caused by contractors' unsafe conduct
activation of a machine's CO2 warning system; gas early warning alarm components, and such as lack of safety awareness or failure to follow SOPs
one fire alarm was caused by an aging heating three incidents were caused by workers who
element of the cleaning tank; one incident of a did not observe the gas cylinder replacement
static electricity-induced fire caused by the use procedures. In all six incidents, the special gas
of flammable chemicals on the machine was shut down immediately after the gas early
Improvement Measures
warning alarm was triggered, hence it did not
leak into the environment to cause personnel
● Construction site safety management is conducted through the
casualties or environmental pollution
three strategies of risk identification, management support, and
execution review. The digital transformation of occupational
Improvement Measures Improvement Measures safety and health training is implemented, where relevant
programs are launched by the TSMC Supplier Sustainability
● The safety design of the cleaning tank ● Record gas cylinder changes with 5G Academy. In particular, high-risk operation contractors must TSMC conducts hazardous gas leakage response drill through Virtual
must include low-liquid level detectors and cameras, require on-site personnel to complete 100% of the training before entering the fabs to Reality (VR)
overheat detectors that are not controlled conduct steel cylinder replacement training begin construction. As of December 2022, 21 occupational
by the process. When the detectors are every quarter to strengthen compliance with safety and health programs were completed by more than
activated, they should be able to automatically SOPs and operational proficiency 200,000 people
turn off the heater; when the overheat ● To increase the safety of changing gas ● To bolster contractors' safety awareness, the TSMC Contractor
detector circuit is open and faulty, it should cylinders containing hazardous gases, in ESH Bluebook was converted into an audio book, and the
be regarded as an alarm, and the heater is 2023 TSMC will develop VR environments operation safety management regulations for mechanical
automatically turned off. This regulation has or objects that are combined with visual equipment including threading machines, sheerleg cranes, and
been included in the machine procurement effects and auditory information to improve suspended cages for window cleaning were added
and safety inspection standards, and new the operational proficiency of on-site ● Interactive promotional safety awareness animation videos were
machines must pass the safety inspection workers through gesture interaction and produced for the cleaning personnel, which include examples of
before they can be used understanding intention unsafe operations and unsafe environments to improve learning
● Static protection for valves using chemicals results
● Unsafe environments prone to injuries and falls were improved
by installing safety signs on the aisles to minimize the risk of
tripping; warnings and warning lines were added on the edges
of the dock area to remind people of falling risks

TSMC builds VR room for compound disaster simulation training to


enhance resilience

168
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Case Study

Artificial Intelligence (AI) Job Hazard Analysis Technology Enhances Workplace Safety
To enhance the implementation of the construction personnel's safety regulations, minimize
inattention-related occupational disasters, and improve inspection manpower allocation and time-
consuming problems, TSMC has joined forces with National Chung Hsing University's Department
of Management Information Systems in 2021 to develop Artificial Intelligence (AI) Job Hazard
Analysis Technology, integrating existing emergency response 4G cameras in the fabs with a
wired or wireless network to transmit live images, apply intelligent identification technology to
identify environmental and operational risks, as well as integrate big data computing and machine
learning to monitor high-risk workplaces. The Emergency Response Center personnel can monitor
safety blind spots via live images around the clock. When construction workers operating in high-
risk areas exhibit unsafe behaviors or find themselves in an unsafe environment, text messages
or the public address system can be used to report the problem to TSMC project managers and
Emergency Response Center, demanding the contractor to make immediate improvements. The
contractor may only resume construction after it has passed re-inspection.

In 2022, the AI job hazard analysis technology was used in Fab 15A to monitor 1,402 ceiling
operations, where three unsafe contractor behaviors were discovered in time during the test
process to prevent occupational injuries. Besides the ceiling, AI job hazard analysis technologies
will be developed for other high-risk workplaces. The technology will be introduced to various
fabs, and it will be standard equipment for new fabs. Considering the diverse applications of AI
technology, TSMC formed an internal image recognition development and review platform in 2022
to consolidate all AI image recognition system developments. Units with image recognition needs
can search and utilize the application resources that have already been developed on this platform
to expand the scope and depth of disaster prevention technology. Ceiling AI Image Recognition

169
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Improvement Measures
Statistical Analysis of Disabling Injuries
among Employees Mostly caused by colleagues using trolleys in the 6" and 8" fabs. Therefore, trolley routes
and placement locations continue to be planned according to the operations involved,
Disclosure of occupational accidents is based loss of 134 workdays. The employee injury rate reflectors are installed in areas prone to collisions, and colleagues who voluntarily report
on the Occupational Safety and Health Act and was 0.05%. Men suffered from a higher number incidents are rewarded. Unsafe environments were improved and quarterly communication
Impact meetings were conducted in the manufacturing department to promote preventive safety
important disabling injury indicators issued by of work-related disabling injuries and workdays injuries awareness using different themes. In 2023, trolley operation-related training videos will be
the Global Reporting Initiative (GRI), which uses lost than women. The types of injuries were recorded to improve learning performance
Disabling Severity Rate (SR) and Disabling Injury mostly falls and impact injuries, mainly caused by
Frequency Rate (FR) as primary indicators. In accidental collisions due to poor route design or Mostly caused by missing a step in the clean room or tripping over objects during machine
2022, there were 35 disabling injuries among failure to pay attention to people in front when maintenance. Consequently, the 6S standard for maintenance processes has been
employees with 385 workdays lost. Of these, 21 using trolleys; or tripping injuries caused by the formulated, including guidelines for placing relevant components, materials, and covers
Falls and during machine maintenance, as well as rules for fixing extension cords and trolleys. In
cases of disabling injuries among men resulted improper placement of materials when conducting sprains addition, specifications for the steps on the machines in the clean room and the regulations
in the loss of 251 workdays, and 14 cases of machine maintenance operations. for using them have also been defined
disabling injuries among women resulted in the

Total Working Hours, Injuries and Working Days Lost Disabling Injury Frequency Rate by Gender Disabling Severity Rate by Gender

90,837,537 92,608,573 102,139,494 115,093,160 131,401,482 0.43 0.49 0.45 0.34 0.22 6 9 4 5 3
44 44 43 44 35 0.53 0.58 0.37 0.44 0.31 7 8 2 8 3
659 762 422 826 385
8
7 7

3
4

0.48 0.48 0.42 0.38 0.27

2018 2019 2020 2021 2022 2018 2019 2020 2021 2022 2018 2019 2020 2021 2022
Total Working Hours Injuries Workdays Lost Disabling Injury Frequency Rate (FR) Disabling Severity Rate (SR) Male Female Male Female
Note 1: According to the Occupational Safety and Health Act, Disabling Injury Frequency Rate (FR)/Disabling Severity Rate (SR) are defined as any diseases, Note: Data includes TSMC fabs in Taiwan, TSMC (China), TSMC (Nanjing) Note: Data includes TSMC fabs in Taiwan, TSMC (China), TSMC (Nanjing)
injuries, disabilities, or deaths of workers caused by buildings, machinery, equipment, raw materials, materials, chemicals, gases, vapors, dust, etc., at and VisEra and VisEra
the place of duty, or as a result of work activities, or due to other occupational causes. Other unrelated injuries in the workplace such as falling in the
cafeteria or parking lot due to various reasons are not considered work injuries
Note 2: Data includes fabs in Taiwan, TSMC (China), TSMC (Nanjing), and VisEra

170
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Disabling Injury Frequency Rate by Injury Disabling Severity Rate by Injury Non-work-related Injuries Statistical Analysis of Disabling Injuries
Unit: % Unit: %
Musculoskeletal Injury Others
Unit: % Among Contractors
Sprain In 2022, the contractor disabling injury frequency
Puncture
3.2 6.5
rate (FR) and disabling severity rate (SR) were
2 12.9
14 11 16 Scrape 3.2 higher compared to 2021. This was mostly
23 3.2
3 attributed to unsafe behaviors such as a lack of
Pinch
12 6.5 safety awareness or failure to follow SOPs. Please
9 refer to the Safety Performance Index for relevant
27 9.7 improvement measures.
Collision
17 23 17

6 6 6 10 54.8

Fall

Male Non-work-related Injury Days Disabling Injuries in Contractors


Unit: %
4.8 2.8
Others Sprain
19 14.3 9.5 9.5 14.3 9.5 19 7.6 15.1 14.7 8.8 21.1 7.6 12.4 94

8.9
21.4

Collision 7.3
Musculoskeletal
Female Injury 3.2
0.4 3.6
Scrape 0.4 11
1.5 54.8 5
9
Puncture 0.37
28.6 35.7 21.4 7.1 7.1 31.3 49.3 9.0 9.0 Fall 0.03 0.1 0.07 0.19
Pinch 0
2018 2019 2020 2021 2022
Collision Fall Burn Pinch Sprain Musculoskeletal Injury Scrape Others Unit: % Disabling Injury Frequency Rate (FR)
Disabling Severity Rate (SR)
Note: Data includes fabs in Taiwan, TSMC (China), TSMC (Nanjing), and
VisEra

171
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Provide Comprehensive Health Management


TSMC's comprehensive health management encompasses worker health promotion, health check-ups, care, and occupational disease prevention. These measures aim to
control the work environment and personnel health risks to attain a work-life balance. To protect the physical and mental health of employees, TSMC has hired professional
medical staff including 2 physicians, 122 nurses, and 7 psychologists in the fabs in Taiwan and China. A total of 1,743 services were provided by contracted labor health
physicians.

Health Promotion Caring and Care Health Risk Management


● Held online health walk challenges and ● Nurses cared for 33,209 people diagnosed ● General and special operation health check-
weight control competitions, which saw with COVID-19 by phone up report tracking abnormal conditions for
the participation of 15,260 and 6,693 ● Provided 2,901 employees with 22,713 people
people, respectively. Healthy diets for psychological/legal/financial consultation ● Respiratory assessment for 17,258 people
nearly 100,000 people per month services ● Maternal health management for 890 people
● Held health expert lectures to share ● Provided 2,041 employees who came to
sports/sleep/nutrition-related topics
● Prevention and management of cerebral and
Taiwan for training with health management cardiovascular diseases for 6,511 people
and provided individual consultation for and medical care assistance
32,977 participants ● Post-injury/illness reinstatement evaluation for
● 18,705 visits to dental clinics in Taiwan and 519 people
● Provided sports arenas, gyms, aerobics China
classrooms, ball courts, and diverse ● Domestic and overseas business trip
courses for 133,391 employees and
● 24-hour emergency medical treatment and pandemic prevention for 985 people
their families follow-up for 872 people

Health Check-up Occupational Disease Prevention


● Physical examinations for 12,666 new employees ● Prevention and management of ergonomic
● Annual regular health check-ups for 63,719 people musculoskeletal diseases for 277 people
● Special hazardous operation health check-ups for ● Physical/chemical exposure disease
6,883 people Comprehensive Health prevention and grading management of
● Advanced employee health check-ups Note 1 for
Management special hazard operation personnel for
46,672 people 2,699 people
● Manager health check-ups for 3,567 people
● Social and mental disorder prevention and
individual care for 1,438 employees who felt
● 37,468 people came to the fabs to receive high-stress levels after a health check-up
self-paid health check-ups
● Biological disease prevention for 5,507
● Free oral cancer, colorectal cancer, breast people
cancer, cervical cancer, and hepatitis B/C
screening for 2,567 construction Note 1: For further details, please refer to Advanced Employee Health Check-up
and Follow-up Care TSMC implements occupational disease prevention and actively
site contractors Note 2
Note 2: For further details, please refer to TSMC Launches Health Check-up promotes employees' health and well-being
Program on New Construction Site, Safeguarding the Health of Contractors
172
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Case Study

Advanced Employee Health Check-up and Follow-up Care


According to the World Health Organization's statistics on hospitals in 2022 to provide employees with advanced imaging inspection results are combined with personal work hazards and
the top ten causes of death, cerebrovascular diseases, heart examinations for cerebrovascular disease, heart disease, applied to the physical and mental health management plan to
diseases and cancer are the primary causes of disability and cancer (intestine/lung/breast), and other diseases by applying actively mitigate employees' health risks. In 2022, 46,672 people
death. To enhance employees' health care, besides implementing a standard that is superior to regulations, hoping to adopt a received health check-ups, and for those who have abnormal
health checks that comply with laws and regulations in TSMC more proactive approach to detect abnormalities in advance results, all immediate assisted them back to hospitals for
fabs in Taiwan and Nanjing, the Company also collaborated with and assist employees to receive early treatment. Moreover, the recheck and further treatment.

Number of People Who


Category Measures Subject/Frequency Detail information Completed Inspection
(Inspection Completion Rate)

Colorectal All employees at TSMC fabs in The screening service is provided regardless
cancer: stool Taiwan and TSMC (Nanjing) / of age to facilitate early detection and 32,184(47%)
occult blood Annually treatment
Cancer
2,383(28%)
Breast cancer: Female employees over the age of The service is provided five years earlier than
screening
mammogram 40 at TSMC fabs in Taiwan/Annually the one offered by the NHI (age 45 or above)

6,102(88%)
Lung cancer: All employees at TSMC fabs in
chest CT scan Taiwan
1. At the time of employment Facilitate early detection and treatment
4,777(91%)
2. Every five years for existing
employees
ECG
Cerebrovascular The screening results are incorporated into
Cardiac/
disease imaging the cerebral and cardiovascular disease
carotid echo
examinations prevention program, where a physician will
1,060(75%)
Cardiac CT High-risk group of cerebral and conduct an overall health risk assessment and
for calcium cardiovascular disease follow-up management (please refer to the
scoring Prevention Measures Against Occupational
Diseases & Achievements - Social/Mental TSMC provides advanced imaging examinations for cerebrovascular disease, heart
disease, and cancer in a manner superior to regulations
Factors)

173
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Case Study

Comprehensive Health Care Service to Look after the Health of


Contractors and Partners
In 2022, TSMC's safety and health management measures site safety and address environmental risks, and prevent personnel
were extended to all workers including employees, contractors, from being exposed to unsafe environments. Second, the
suppliers, and construction site contractors. Furthermore, two emphasis is on people, where health and screening services have
major measures Environmental Safety Protection and Labor been extended to construction sites, and a care group has been
Physical and Mental Care were implemented for work sites. First formed to protect the safety and health of contractors in the fab
of all, technological tools were introduced to manage construction construction.

Five Major Health Care Actions for Contractors on Construction Sites

1 2 3 4 5 On-site health service by occupational physicians

Provide Vaccination Health Management Follow-up Care On-site Physician Free Health
Service System Service Check-ups in the
● Provide contractors in ● Use the "health ● TSMC, Safety Management ● On-site health service by Construction Area
the construction area management system for Center resident nurses, and "occupational medical ● Provide oral cancer,
with influenza vaccination high-risk construction industrial safety personnel physicians" colorectal cancer,
service groups" to identify of the main contractor form ● Provide professional breast cancer, cervical
personnel with high-health a caring group to offer on- services for the four major cancer, and hepatitis B/C
risk. Integrate access site care labor health protection screening
control, work order, and ● Evaluate the personnel's plans ● If precancerous lesions
health database to monitor type of work and work or cancer are discovered,
personnel work status environment, provide care the on-site medical team
for middle-aged and elderly will assist contractors and
workers and disadvantaged partners to seek medical
workers, measure blood treatment
pressure, and promote
health education
On-site care service by resident nurses

174
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Prevent Occupational Diseases


TSMC remains committed to building a safe and healthy workplace where each task is assessed repeatedly through risk identification. Furthermore, the Company collaborates with occupational disease physicians
and external experts by following the disk identification method to uncover five major potential risk factors of occupational diseases (chemical, physical, ergonomic, biological, and social/mental) and design preventive
measures accordingly.

Prevention Measures Against Occupational Diseases & Achievements

Ergonomic Chemical
On-site Visits with occupational physicians Establish an exposure assessment model to manage the use of chemicals

Existing Measures New Measures in 2022


● Arranged occupational physicians to visit loading sites at TSMC fabs in Taiwan ● Re-evaluated the suitability of all chemical operation-related PPEs
● Used computerized ergonomic risk assessment systems to identify operations ● Evaluated PPEs that meet safety protection and comfort requirements for colleagues to choose
with high ergonomics risks ● Collaborated with Distinguished Professor Peng-Jy Tsai from National Cheng Kung University to confirm the justifiability of the work
● Conducted an investigation in 2021 of the departments where many people environment monitoring plan implemented by each fab every six months
in the same unit have applied for leave due to muscle ache to determine if the ● Implemented direct-reading instruments (TSI AM520) in conjunction with 4G cameras to monitor dust conditions in chip crushing operations
operations involved ergonomic risks
● Conducted health surveys and track employees who applied for pain relief Existing Measures
patches, and reached out to and arranged meetings with occupational ● Chemical management: Please refer to TSMC's chemical management procedure
physicians for employees on leave for musculoskeletal pains
● Re-evaluate chemical work stations involving manual labor at 148 laboratories annually to confirm that there are zero risks of chemical
exposure
Achievements ● Based on the analysis of chemical exposure risk and the frequency/nature of the operation, approximately 4% of the contractors may be
● Arranged 19 occupational physician on-site visits, achieving a 100% exposed to high health risk substances (carcinogens, mutagens, and reprotoxic substances); 10 such incidences were observed
improvement completion rate ● Continued to arrange occupational physicians to assist contractors in the fabs to conduct on-site surveys and identify chemical exposure risks
● Employees affected by soreness were cross-checked with the computerized in the workplace
ergonomic risk assessment system; none were found to be working in areas ● Requested contractors with abnormal special health check-up results to voluntarily report them to TSMC
with ergonomic or potential ergonomic risks
● A total of eight units had more than three people apply for leave within the Achievements
same unit due to soreness in 2021; they were deemed to be at low risk after ● 100% confirmation of the suitability of PPEs for chemical operations ● Confirmed that all chemical-related tasks in 148 laboratories are
on-site visits and evaluations were carried out performed inside fume cupboards with zero health risks to operators
● Completed the assessment of five types of PPE, including powered
● 198 employees were impacted by soreness and participated in the ergonomic air purifying respirators, eye protection safety glasses, and hearing ● Confirmed that 10 work sites where contractors may be exposed
risk exposure survey; one employee was assisted with suspected ergonomic protection earmuffs to high health risk substances comply with safety and health
risk factors to make work adjustments management regulations; in addition, the sites are equipped with
● Established a work environment measurement plan to ensure the
● Three people continued to use pain relief patches to treat body aches in the effective implementation of the work environment measurement good ventilation, and protective equipment is worn by workers,
same location; an evaluation by an occupational physician determined that hence there are no exposure concerns
the illness was unlikely to be work-related, and the wellness center provided
● The chip casting area was improved according to the monitoring
results of chip crushing operations to reduce the risk of dust
● Ensured that the personnel working inside TSMC fabs do not have
health education to help mitigate their discomfort any health concerns about chemical exposure
emission
● 0 case of occupational disorders caused by exposure to chemicals ● Received 0 cases of unusual results for the special health check-up

175
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Social/Mental Biological Physical


Track CDC updates to provide Better identification of physical
Enhance the cerebral and cardiovascular disease
employees with the latest health hazards in the environment
prevention and management program
information

New Measures in 2022 Existing Measures Existing Measures


● Flagged those with abnormal advanced imaging examinations ● Continued to track communicable diseases ● Developed a measurement system for
results as a high-risk group and actively managed their medical domestically and abroad and establish ionizing radiation levels, established a
treatment and work hours preventive/response measures for full-time control mechanism for radiation
notifiable diseases; the Disease Prevention sources, and banned individuals with
Existing Measures Committee continued to develop pacemakers from operating such
COVID-19 countermeasures and reporting equipment
● Offered occupational leave to employees with medium/high health risks mechanisms based on changes in the ● Process equipment is tested for non-
in Taiwan and Nanjing facilities for free advanced imaging examinations, COVID-19 pandemic ionizing radiation levels every half year
to help them prevent cerebral and cardiovascular diseases ● Continued to enforce reporting mechanism
● Used the health management system integrated with the latest for non-notifiable diseases and provided
employee health check-ups and work hours to evaluate health up-to-date information on seasonal flu and
risks; in addition to informing employees, supervisors and HR were dengue fever
reminded to adjust workloads for said employees ● Briefed and provided disease prevention
● Combined with the work hour system for risk control, if the toolkits to employees on business trips to
employees exhibit abnormal over time, the system will automatically areas with disease outbreaks
remind employees, supervisors, and HR

Achievements Achievements Achievements


● In total, 11,939 employees in the Taiwan fabs received advanced ● Handled 5,507 high-risk cases in ● There was 0 case of radiation exposure
imaging examinations, of which 1,997 were classified as moderately compliance with COVID-19 control ● Completed the special eye examination of
or highly abnormal. Employees were helped to receive re- measures one precision operations machine, and
examination and health education based on the degree of their ● Effectively managed a total of 33,209 the examination result was normal
abnormality. Of these, 508 people who refused re-examination were
instances of notifiable diseases and non- ● The electric field and magnetic field of
given health guidance via physician interviews, telephone interviews,
notifiable diseases to contain the spread the non-ionizing radiation measurement
or written reviews. Furthermore, 55 people were required to limit
work hours or adjust work content according to the doctor's order, ● Distributed 985 disease prevention toolkits results were far below the ACGIH TLV
and work distribution has been facilitated. Moreover, 16 people to employees going on business trips standard, and all items were normal
received medical treatment.
● Work hours of employees were tracked every month to manage 6,212
people with medium/high health risks, and make sure that they comply
with the doctor's orders. Health guidance was arranged for 1,757
people through approaches such as doctor's interviews, telephone
interviews, or written reviews. In total, work hours or work content
adjustment was implemented for 216 persons.

TSMC actively establishes an intrinsically safe workplace

176
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Build Internal-External Alliance


As a leader in the global semiconductor industry, information on safety and health-related risk control education by combining workplace risk assessment in various industries can be enhanced in a bid to
TSMC continues to collaborate with business measures with various industry players, sharing and management theories with practices in 2021. create a safe and healthy working environment.
partners, industry, and academia, hoping to create experiences on the use of chemicals with health risks In 2022, TSMC conducted three training and
a sustainable, healthy workplace and minimize such as Per- and polyfluoroalkyl substances (PFAS) or drill sessions in Northern, Central, and Southern In October 2022, TSMC was awarded the National
occupational risks. N-methylpyrrolidone (NMP), and their substitutes. Taiwan. The participants included 155 safety and Occupational Safety and Health Award's highest
health managers from the manufacturing industry, honor, the Enterprise Benchmarking Award. TSMC
Work with External Parties to Optimize In addition, TSMC is willing to share its experience healthcare industry, construction engineering continues to advocate a safety culture centered in
Work Environment in promoting a safe and healthy workplace. TSMC industry, water supply and pollution control industry, human, establish an intrinsically safe workplace and
also collaborated with the Occupational Safety and transportation and warehousing industry, and promote physical and mental health of workers.
In 2022, TSMC attended the Joint Steering
Health Administration, Ministry of Labor, and the professional science and technical service industry, By sharing its experience and collaborating with
Committee ESH Working Group of the World
Institute of Environmental and Occupational Health etc. Through the selfless sharing of knowledge and stakeholders, TSMC reduces the risks of occupational
Semiconductor Council on behalf of the
Sciences, National Yang Ming Chiao Tung University, experience, the hazard identification and problem- safety and health in the workplace.
semiconductor industry in Taiwan to exchange
to compile materials for advanced in-service solving abilities of occupational safety professionals
TSMC proactively shares its experience in promoting a safe and
TSMC receives the highest recognition of the National Occupational Safety and Health Award healthy workplace

177
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Construction Site Management Process and Mechanism


As TSMC values construction site safety, the management system, requiring contractors to In 2022, TSMC collaborated with third-party company DuPont and German company Exyte
Company vigorously aims to create a localized, submit a Construction Safety Protection Plan safety experts to implement the Construction were invited to share their industry-leading safety
people-oriented workplace, hiring only employees before each construction project, as well as discuss Safety Management Counseling Project. Besides management experience, encouraging contractors
of local nationality. Since the start of a new and review safe construction steps through conducting on-site safety management operation to generate the core value of "zero occupational
construction project, a construction site safety the Safety Management Center and contractor observations and interviewing contractor supervisors safety accidents". The Company also required the
management organization is formed with the meetings. In particular, before implementing the in the workplace, the Company also strove to management team to commit to creating a safety
contractors, construction site Occupational Safety six high-risk operations, it is necessary to assign enhance contractors' attitudes towards safety culture that involves full participation. The primary
and Health Committee, and Safety Management dedicated personnel to conduct a pre-construction management. Furthermore, a new project contractor goal of the fab construction area was to reduce
Center. TSMC rigorously monitors the construction inspection and full-time supervision to ensure operation safety improvement meeting was held, the risk of occupational risks. The program saw the
environment using a comprehensive three-level labor safety. inviting TSMC's long-term contractors to participate participation of a total of 49 contractors and 140
in the meeting. At the same time, the American personnel.

The three-level management system continued at TSMC fab construction sites

Level 3

Management from TSMC


and Contractors 3
Promises and authorizes Construction Construction Projects
safety officials to supervise Projects
and make decisions

Level 2

Safety Supervisor
Commissioned by Safety 2 Construction Safety Safety Management
Committee Committee Center NEW
● Access Control and Security ● Safety Supervision and
Supervise all construction Counseling
● Environmental Safety
workers
Engineer ● Emergency Management

Contractors must establish management and


Level 1 1 1 certification system for occupational safety and
health
Contractor's Work
Contractors must ensure effective on-site
Safety Personnel &
2 supervision by increasing the professionalism
Supervisors Contractor 1 Contractor N and training efficacy of safety officials
Supervise contractor Contractors must fix deficiencies uncovered
workers Contractor 2
3 by on-site audits and implement preventive
measures

TSMC holds new project contractor operation safety improvement meeting 178
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Three-pronged Approach to Construction Site Safety Management

Safety Management Safe Behaviors Safe Workplace


Existing
Measures ● Continued to compile the ESH Bluebook on Fab Construction. The content is ● In continuation of the six high-risk operations, the main contractor ● Implemented the construction site safety facility improvement team
divided into nine major chapters, totaling 90 operational management-related and the Safety Management Center will dispatch personnel to proxy system to eliminate risky environmental shortcomings as soon
items. The Bluebook is slated for publication in 2023, which will be used as an oversee the entire process and mitigate risks through intensive as possible
operational guideline for enhancing construction safety in Taiwan supervision
New in 2022
● TSMC collaborated with third-party safety experts to implement the Construction ● Established a health management system for high-risk ● Carried out safety inspections of high-risk tools by professional personnel
Safety Management Counseling Project and new project contractor operation construction groups, where blood pressure monitoring, on-site to ensure they were safe before entering the fab and using the tools
safety improvement meeting care, and health education are carried out to implement voluntary ● Utilized AI image recognition technology to analyze personnel behavior
● Established the Southern Taiwan Science Park On-duty Response Center and health management in conjunction with contractor counselors. A patterns and build an abnormal environmental image model to interpret
collaborated with the Safety Management Center to monitor abnormal situations total of 8,836 persons received care through this program and prevent abnormal or illegal behaviors in the work area in real time
and respond to abnormal situations and events through the safety dashboard ● Education is the foundation of safe behavior, hence teaching ● Created an independent QR code at every opening in the construction
● Distributed zero-disaster rewards in the fab construction area to engender a sense materials for 16 courses were integrated with the safety topics area for patrolling purposes. A total of 1,382 openings were listed for
of honor, and encourage contractors to create a zero-disaster work environment selected by seed instructors trained by contractors to implement management, achieving 100% protection
together. In 2022, a total of 1,061 rewards were distributed exhaustive inspection via the three-level audit method to discover
various contractor management-related weaknesses and offer
● Fitted construction vehicles with intrinsic safety anti-collision facilities;
● The construction management system was integrated with access control and risk guidance forklifts, skid steer loaders, and water trucks have been equipped with
matrix grading, dividing operations into three levels according to the content of backup cameras and radar as standard equipment. The equipment was
the operations involved: mild/moderate/severe. Moreover, dedicated personnel installed on 79 vehicles in 2022
was assigned to supervise operations with severe risks, achieving a severe risk ● Monitored and audited the construction environment of underground
supervision rate of >85% pipelines in the construction area. In 2022, a total of 48 underground
● Construction units are graded according to risk. Priority is given to the identification pipeline operations were monitored
of high-risk construction or construction workers with high violation rates.
Furthermore, contractors with poor safety indicators are given guidance

TSMC collaborates with third-party safety experts to implement the Construction Safety
Management Counseling Project Full-time monitoring of high-risk operations by dedicated personnel Specific QR codes of each entrance for inspection purposes

179
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Power to Change Society


Committed to uplifting society, TSMC Education and Culture Foundation and TSMC Charity Foundation spotlight the changing social
landscape and its needs. The two foundations integrate and invest internal and external resources to empower young students of all
ages, care for remote areas and the disadvantaged, elderly people living alone, and foster art and cultural literacy to lay the foundation
for a society with common good, beauty, and kindness.

1,907,199 16,471 44,617


Participants in promoting arts and culture Seniors benefited from care for senior LED project beneficiaries
living alone

Social Impact TSMC Education and Culture Foundation TSMC Charity Foundation

180
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Social Impact
In line with the TSMC ESG Policy, TSMC
actively responds to the United Nations
Impact Inputs
Sustainable Development Goals 2022 Impact Distribution 2022 Resource Investments
(SDGs) and monitors diverse social Unit: NT$ million Unit: NT$ million

issues, turning sustainable strategies


Depth Methods
into concrete actions; meanwhile, in
reference to the Business for Societal 59.1% 23.8% 17.1% 64.8% 17.8% 10.4% 6.9%
Impact (B4SI) formerly known as
London Benchmark Group's (LBG) Change $746 Materials and Services Provided $819
Impact Principles, the Company Improvement $301 Cash Donations $225
analyzes the depth (connection, Connection $216 Time Invested $132
improvement, change) and the type Management Fees $87
(behavioral or attitudinal change, skills
or personal effectiveness, quality of
life or well-being) of the impact of Types Types
overall resources on beneficiaries, so
as to ascertain the overall benefit of 67.1% 15.7% 17.3% 65% 31% 4%
resources invested, and use it as the
Skills or Personal Effectiveness $847 Commercial Initiatives $824
basis for optimizing project execution Behavioral or Attitudinal Change $198 Community Investments $393
and extending social impact.
Quality of Life or Well-being $218 Charitable Donations $46

Total Investment (NT$)

1,263 million

Outputs 2,291,030 130 171


2022 Overall Outputs Beneficiaries of social Charity partners Charity programs
engagement programs

181
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

In 2022, to continue driving sustainable social to empowering youths, guiding female students up, young people can apply their talents, and seniors accounted for 64.8% of total donations. In terms of
development and establish a reliable support to explore the field of science, and enriching can retire. the type of resources invested, TSMC continues to
network, TSMC collaborated with domestic and cultural heritage. By joining forces with educational strengthen contributions to commercial initiatives
overseas R&D institutions to expand University institutions to empower teachers and shape the In 2022, TSMC and the two Foundations invested and community investments, hoping to strengthen
Programs and provide assistance to address quality of students, TSMC fosters art recognition more than NT$1.779 billion in charitable activities. the relationship with the community by focusing
inadequacies in emergency relief and pandemic and heritage, elevating the spiritual cultivation of NT$516 million was used to purchase and donate on the Company's core business. Concerning
relief resources. Moreover, the Company teamed the Taiwanese people. TSMC Charity Foundation has vaccines to alleviate the urgent domestic demand for the UN SDGs, SDG 9 (Industry, Innovation, and
up with TSMC Education and Culture Foundation connected rural education with local employment, vaccines. TSMC also invested more than NT$1.263 Infrastructure) accounted for 55.6% of the resources
and TSMC Charity Foundation to form a protective appealing to employees and charity partners across billion into seven major public welfare pillars to invested during the year, followed by SDG 4 (Quality
shield for underprivileged groups via collaboration various industries to support remote townships and promote various projects, listen to, support, and Education) at 17.3%. TSMC also paid attention to
between industry, government, and academia. TSMC seniors living alone to promote filial piety and create empower stakeholders in the social field, mainly SDG 3 (Good Health and Well-Being), SDG 13 (Climate
Education and Culture Foundation is dedicated a society of common good, where children can grow in the form of resources and services, which Action), and SDG 17 (Partnerships for the Goals).

Core Services Target Groups Vision: Build a Society of Common Good

Cultivate Young Generation


High school / university Proportion of 2022 Overall Resource Investment in Social Impact Corresponding to SDGs
students and professors
Unit: NT$ million
Educationally underprivileged students
Promote Educational Collaboration
Schools or educational
5.4% $134
Good Health and Well-Being
institutions in rural areas 10.6%
Promote Arts and Culture Arts and cultural groups and
local residents $218
Quality Education
Empower the Rural Community Underprivileged groups 11% Total
Investment $703
Seniors with low income
Care for the Elderly living alone $1,263 Industry, Innovation, and Infrastructure

Care centers for seniors 55.6%


living alone $69
17.3% Climate Action
Promote Filial Piety
NPOs / NGOs
$139
Protect the Environment The public Partnerships for the Goals

182
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

TSMC Education and Culture Foundation


Strategies 2030 Goals 2023 Targets 2022 Achievements

Cultivate Young Generation


Hold educational events; provide Ensure the number of overall youth Ensure the number of overall youth Youth competition events attracted a total of 2,388 participants, up by
diversified educational platforms competition event participants is higher competition event participants is 774 participants from 2021
than that of the previous year higher than that of the previous year Target: The number of youth event participants is higher than that of the previous year

Hold ≧ 20 popular semiconductor Hold ≧ 20 popular semiconductor Held 18 TSMC Journeys of Female Scientists Lectures, with 1,275
activities with ≧ 1,500 participantsNote activities with ≧ 1,000 participants participants
annually Target: Hold ≧ 10 popular semiconductor science activities with ≥ 600 participants

Invest ≧ NT$33 million in resources Invest ≧ NT$33 million in resources Invested NT$34.04 million
annuallyNote annually Target: Invest ≥ NT$30 million in resources annually

Promote Educational Collaboration


Cooperate with educational Continue to cooperate with educational Invest ≧ NT$15 million in resources Offered scholarships at five universities and benefitted 98
organizations to narrow the gap in organizations and invest ≧ NT$20 million annually underprivileged students
educational resources in resources Target: Continue to offer scholarships at five universities and increase the number
of underprivileged students benefited from the scholarships to 97

Sponsored the Public and Private Experimental Emei Bilingual Junior High
School NT$1.1 million to support education, totaling NT$3.3 million to date
Target: Continue to sponsor NT$1.1 million

Invested a total of NT$17.87 million


Target: Invest ≧ NT$15 million in resources annually

Promote Arts and Culture


Hold art festivals to foster local art Sponsor ten local talented artists or art Sponsor ten local talented artists or art Continued to organize the TSMC Hsin-Chu Art Festival and sponsored
groups groups groups 12 domestic art groups
Target: Sponsor ten local talented artists or art groups

≧ 1,500 participants for Chinese in-person ≧ 1,500 participants in Chinese in- Sponsored 15 Kenneth Hsien-Yung Pai Literature Lectures
opera activities NEW person opera activities NEW Target: Organize ≧ 15 humanities lectures in college

Ensure the annual number of beneficiaries Ensure the annual number of Held four TSMC Lectures
for in-person arts and cultural events is ≧ beneficiaries for in-person arts and Target: Continuously organize ≧ four TSMC Lectures
15,000 people cultural events is ≧ 15,000 people
26,821 people participated in in-person arts and cultural activities
Target: Hold in-person arts and cultural activities and benefit ≧ 20,000 people

Note: The long-term goals have been revised as TSMC Education and Culture Foundation expects that the investment and the growth rate of participants continue to grow in 2030 Exceeded Achieved Missed Target 183
according to the implementation results in recent years
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

With a vision of cultivating talents and fostering a TSMC Education and Culture Foundation Contributions
benevolent society, TSMC Education and Culture
Foundation strives to materialize the three themes What We Contributed How We Contributed Focuses of Contributions Unit: NT$ ten thousand
of Cultivate Young Generation, Promote Educational
Collaboration, and Promote Arts and Culture, 0.2% 99.8% 100% 17.4% 34.2%
Time Note Money Community Other Cultivate Young
thereby generating a positive cycle and sustainable
$23 $9,997 Investments $1,742 Generation
development. In 2022, despite the COVID-19
$10,020 $3,427
pandemic, TSMC Education and Culture Foundation
still invested copious resources to organize numerous
educational activities as well as arts and cultural
events. We collaborated with educational partners Total Amount Total Amount Total Amount
to empower teachers in remote townships, inviting $10,020 $10,020 $10,020
employees to accompany young students to pursue
their dreams, inspiring female senior high school
students' interests in science, and establishing
programs such as Chinese Opera on Campus 30.6% 17.8%
Promote Arts Educational
courses and Peking opera art appreciation micro- and Culture Collaboration
courses, as well as organizing online arts and cultural Note: The basis of time calculation is the number of volunteer hours x average $3,064 $1,787
hourly salary; the calculation of hours only includes the time spent
activities by capitalizing on the power of science and by TSMC volunteers participating in the Journeys of Female Scientists
technology. A total of NT$100.2 million was invested Lectures and Marie Curie Chemistry Camp

this year. For more information on the events and the


sponsorships, please refer to the official website of Core Engagement
TSMC Education and Culture Foundation.

Donation by TSMC Education and Culture


Foundation
Unit: NT$ ten thousand

Cultivate Young Generation Promote Educational Collaboration Promote Arts and Culture
7,681 9,699 9,985 8,779 9,997
● Promote popular science education and cultivate ● Empower rural teachers to improve the literacy of ● Cultivate arts and cultural talents and promote
female scientist talents students in remote townships the heritage of Chinese opera
● Provide diverse platforms for youths to undergo ● Sponsor experimental education at junior high ● Promote arts and cultural events
self-exploration Schools and organize literature camps to enrich
humanistic qualities
● Sponsor cultural broadcasting programs to
● Organize literature, arts, and cultural competitions enhance artistic ambiance
to enrich cultural qualities ● Sponsor scholarships at universities for
underprivileged students and provide digital
learning equipment

2018 2019 2020 2021 2022


184
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Promote Popular Science Education and Cultivate Female Scientist Talents


Journeys of Female Scientists - Inspire Students' Interest in Micro-course Design Helps Students Obtain New Knowledge of
Science Semiconductors
To subvert the concept of "STEM is not suitable for women" and inspire senior high In 2022, easy-to-understand micro-course teaching materials were used to
school girls' interest in science, TSMC Education and Culture Foundation collaborated encourage high school students to learn the field of semiconductors, TSMC
with National Museum of Natural Science in 2022 to hold the third TSMC Journeys Education and Culture Foundation used sponsored exhibits from the World
of Female Scientists, inviting students from 12 girls senior high schools to attend of Semiconductors Exhibition Hall of the National Museum of Natural Science
Cultivate Young the lectures. The lectures included a guided tour of the World of Semiconductors to launch the Big Brother and Sister Talk About Semiconductors course in
Exhibition Hall and the TSMC Museum of Innovation. Semiconductor popular science collaboration with NYCU. The course content encompasses semiconductor
Generation workshops were also held in conjunction with lectures by female scientists. At the introduction, manufacturing process, component digital circuit, industry overview,
same time, outstanding female engineers from TSMC also shared their learning and etc. They are combined with Artificial Intelligence of Things (AIoT) experience and
career experience to encourage students to explore STEM. In 2022, TSMC Education a short film competition on semiconductor chip theory to inspire high school
and Culture Foundation extended the one day visit to two days and one night in- students' interest in semiconductors. The courses have been progressively
depth popular science activity, hoping to encourage more female students to join launched at National Hsinchu Senior High School, National Hsinchu Girls' Senior
What We Want to Solve
scientific research, thereby cultivating scientific talents for the country and the High school, and National Experimental High School at Hsinchu Science Park with
Domestic education often lacks literature,
art, science, and exploration courses. As industry. As of 2022, 17 Journeys of Female Scientists Lectures have been held and 260 participants in total.
a result, students do not have a holistic attended by 3,225 people.
education that integrates technology and
humanities and thus lack the comprehensive
skills required for future talents. Female students enthusiastically partake in TSMC Journeys of Female Scientists Lectures

How We Respond Technology is an integral part of people's


Organize competitions, science camps, lives, and there are semiconductors
lectures, and proposal competitions for
senior high school and college students to everywhere. I hope to continue to explore
inspire their interest in science and develop developments in semiconductors in my
their cultural qualities. At the same time, the future career.
aim is to boost their self-confidence, insight,
and problem-solving capabilities. Taipei First Girls' High School Student

Our Actions
● Promote Popular Science Education and By having more female scientists as role
Cultivate Female Scientist Talents models, young students can understand that
● Provide Diverse Platforms for Youths to the future path is not as difficult as they may
Undergo Self-exploration think, and it also helps children to enter the
● Organize Literature, Arts, and Cultural technology industry with more confidence.
Competitions to Enrich Cultural Qualities
Mon-Shu Ho, Professor
Department of Physics, National Chung Hsing
University
185
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Sponsor Three Major Science Camps international scientific horizons, stimulate their expression short film production, and interactive the Foundation also organized the Responsible Grand
ambition and potential to pursue extraordinary questioning and pop quiz based on different stages Tour pilot project, inviting local revitalization experts
With the mission of cultivating future scientists, TSMC
scientific achievements. Furthermore, the camp also of the competition. The purpose of the competition and youth groups to demonstrate plastic reduction
Education and Culture Foundation has been a long-
invited high school and vocational science teachers to is to cultivate young people's ability to interpret and travel. The mentor program was introduced for the
term sponsor of Wu Chien Shiung Science Camp, Marie
participate in further training activities to explore ways tell stories. The Popular Science Book Guided Reading first time to the Udreamer Project. The Foundation
Curie Science Camp, and Wu Ta-You Science Camp,
to cultivate outstanding scientific talents. In 2022, a Contest requires participants to write a guide article recruited TSMC employees interested in youth
thereby enriching young students' scientific knowledge
total of 134 students and eight teachers signed up for after reading selected popular science books. Students mentoring to guide the award-winning team to turn
and expanding their forward-looking insight In
the event. are expected to obtain new scientific knowledge sustainable thinking into concrete actions. In the end,
2022, Marie Curie Science Camp invited top scholars
through reading to develop analytical and critical the 2022 event attracted a total of 161 teams and
to present lectures with the three major themes:
TSMC Cup - Competition of Scientific thinking skills. A total of 755 people participated in the eight teams were shortlisted to win a total prize of
sustainable agriculture technology, zero waste resource
Short Talk event in 2022. NT$ three million. In the feedback questionnaire, 68%
recycling, and green chemistry. Camp activities
TSMC Education and Culture Foundation and the of the students recognized the project and expressed
included: lectures from top scientists, scientists and
entrepreneurs forums, green chemistry experiments Center for the Advancement of Science Education Provide Diverse Platforms for their desire to engage in sustainable development-

promotion and team competitions. The Foundation have jointly hosted the TSMC Cup - Competition of Youths to Undergo Self-exploration related work in the future.
Scientific Short Talk during the last ten years in the
also invited TSMC engineers to share their educational Guide Youths to Care About Sustainability
hope of elevating the scientific qualities of senior
background, work experience, and the current industry and Build Dreams Together
status with the camp participants. The camp attracted high school students as well as their oral and written
skills. The competition included the Science Creative TSMC Education and Culture Foundation launched Participating in the Udreamer Project offered
96 enthusiastic participants in total.
Expression Competition and Popular Science Book the TSMC Udreamer Project since 2016. The plenty of benefits! I hope to take on more
The Foundation sponsors the Wu Chien Shiung Guided Reading Contest. The Science Creative theme for 2022 was set as "Youth for a Sustainable difficult challenges and embrace a more
Science Camp for 20 consecutive years. The camp Expression Competition requires the contestants to Iseland" and invited college students to care about beautiful future!
activities included the Master and Student Dialogue view the designated reading topics and engage in sustainability and take action. This year's diverse
Student shortlisted for the Seventh
and Creative Competition camps to broaden students' scientific abstract report writing, scientific and creative proposals exemplified students' commitment to the TSMC Udreamer Project
topic of sustainability. In addition to the competition,

Camp participants expand their scientific knowledge and Participants enhance their competence and communication
perspectives through creative competitions skills through science competitions The final judging of the seventh TSMC Udreamer Project

186
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Organize Literature, Arts, and Cultural


Competitions to Enrich Cultural Qualities
Cultivate the Literary of Young People Promote Chinese Character Education and Calligraphy Host Literature Lectures in Collaboration with Titans of
The Foundation has organized the TSMC Literature Award for 19 The TSMC Calligraphy and Seal-carving Competition is the only Literature
consecutive years to cultivate youth literature and encourage creation. annual event in Taiwan that promotes calligraphy and seal-carving The Foundation has long dedicated itself to the humanities education.
In 2022, the theme of My Youth Proposal was applied to continue education for high school students. With the theme of "Food," the 15th In 2022, it collaborated with the Center of General Education, the
providing young students with a stage for unleashing their talents competition incorporates everyday life issues to bring the younger Department of Chinese Literature, and the Center for Language
for literature. A total of 668 submissions were received for the year, generation closer to traditional culture, inviting gourmet writers Education at National Tsinghua University to host the Kenneth Hsien
bringing the cumulative number of submissions over the years to to depict classic dishes and poetic stories in the recipes of Sung Yung Pai Literature Lectures - Classic Chinese and Western Novels,
11,179, including short stories, essays, and new poems. Apart from Dynasty scholars. Furthermore, Kai Ping Culinary School was invited inviting Mr. HsienYung Pai, a literary giant in Chinese literature
the essay competition, other activities include lecture tours by writers, to design the Sung Flower Banquet by using seasonal ingredients. and 13 other professors in the country to give special classes and
contestant-judge forums, and an online essay call for submissions on Furthermore, to encourage teachers to promote Chinese character take students to explore classic Chinese / western novels, broaden
the medium blog. education, the Foundation continues to hold the "creative calligraphy the horizons of ancient and contemporary culture, and care about
teaching proposal" selection, thereby fostering the development culture. The lecture includes 15 special classes, attracting 3,754
of new teaching proposals, embodying the art of calligraphy and participants. The class was produced into a video and uploaded to
seal carving in life, and allowing students to appreciate the artistic the NTHU OpenCourseWare platform and the TSMC Education and
beauty of Chinese characters. The competition attracted a total of Culture Foundation website for the public. As of 2022, the video has
449 participants this year, and 29 creative teaching proposals were been viewed 148,216 times.
received.

TSMC held the 15th Youth Calligraphy and Seal-Carving Competition

Dr. F.C. Tseng, Chairman of TSMC Education and Culture Foundation,


presents the short story first prize

Senior high school students learn to appreciate the beauty of Chinese characters
187
through the calligraphy competition
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Empower Rural Teachers to Improve the Literacy of Students in Remote Impact on Students' Literacy from Teach and Learn
Townships Program
Teach and Learn Program
8% 12% 80%
TSMC Education and Culture Foundation collaborated with the Common Wealth Education Foundation 33% 53% 14%
and the Hwawei Ko Professor Reading Research Center at NTHU to launch a five-year Teach and Learn
Program in 2021. The program provides rural teachers with teaching proposal assistance, forms a joint
Promote course-preparation group, as well as organizes teacher empowerment workshops, and provides on-site

Educational teaching observation and online teaching seminars. In 2022, the program attracted the participation of 94
teachers and 984 new students from 48 elementary schools in rural areas. To understand the program's
Collaboration achievements, teachers who participated in the program were invited to conduct a questionnaire survey in
2022. The results indicate 54% of the respondents believed that the Teach and Learn Program helped to
significantly elevate the teaching quality. Moreover, a Chinese character recognition test was conducted on
What We Want to Solve 1,232 students who participated in the program in 2021, and the results showed that 86% of the students
Excellent Medium Improvement required
Schools in remote townships in the country possess above-average literacy skills, and the ratio of the Level C students (Improvement required) greatly
Pre-test Post-test
are faced with long-term shortage of teachers improved from 80% to 14% comparing to last year.
and educational resources, resulting in low
academic achievement among students. Teach and Learn Program at Keelung Elementary School
Students from underprivileged homes are
trapped by financial circumstances, hence
they are unable to explore and turn their lives
around. I was rather confused in the early
3.3 million
Invested in Emei
stage of teaching. Fortunately, the Bilingual Junior High
How We Respond Teach and Learn Program inspired School to turn it into an
Collaborate with educational organizations experimental junior high
my teaching process.
from both the private and public sectors school; since the plan's
to expand curriculum development and Chih-Hsuan Chang implementation, the
promotion, as well as assist in the training Substitute Teacher, Hsia-Yun Primary School number of students in
of teachers in remote townships to improve the school has increased
the learning motivation and effectiveness of from 39 to 54
underprivileged students. Scholarships are
also provided for disadvantaged students to
reduce their financial burden.
Through the Teach and Learn
984
Our Actions Students benefited from
● Empower Rural Teachers to Improve the Program, I can see the curiosity of the Teach and Learn
Literacy of Students in Remote Townships seven-year-old children and their Program
● Sponsor Experimental Education at Junior desire for knowledge!
High Schools and Organize Literature
Camps to Enrich Humanistic Qualities En-Ju Lin
● Sponsor Scholarships at Universities for Keelung FuShing Public School
Underprivileged Students and Provide
Digital Learning Equipment
188
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Sponsor Experimental Education at Junior High Schools and Organize Sponsor Scholarships at Universities for Underprivileged Students and Provide
Literature Camps to Enrich Humanistic Qualities Digital Learning Equipment
Cultural Nourishment through Literature resources contribution, the results of the Comprehensive Provide Scholarship and Digital Learning
Camp Assessment Program for Junior High School Students equipment to Underprivileged Students Thanks to the scholarship, I was able to go to
show the ratios of English, mathematics, and social Kenya to become an international volunteer
Caring about remote education in Taiwan as its long- Dedicated to assisting outstanding yet economically
subjects that need to be improved are all lower than the without financial worry, and gradually find my
term goal, TSMC Education and Culture Foundation underprivileged students, TSMC Education and Culture
previous year. Additionally, the number of students in the calling. On the shoulders of a titan such as
collaborated with Cheng Zhi Foundation to transform Foundation continued to contribute NT$9.8 million
school has also increased steadily, from 39 in 2020 to 54 TSMC, I could see the light of my own future.
rural education in Taiwan. In 2022, the Foundation of scholarships to 98 underprivileged students in
students. In the same year, the Foundation collaborated
continued to provide funding to Emei Bilingual 2022. In addition to scholarships, the Foundation also Recipient of Sunrise Program Scholarship
with UNITAS Magazine to organize the second TSMC
Junior High School and help to transform it into an provided summer internship opportunities at TSMC for National Tsing Hua University
Youth Student Literature Camp at Emei Bilingual Junior
experimental junior high school for the third straight scholarship recipients to learn about industry trends
High School. Through the exciting sharing of writers,
year, introducing changes to the teaching quality and and bridge the gap between learning and practice; the
62 young literature enthusiasts from Taoyuan, Hsinchu,
learning performance through abundant teacher program also sponsors 25 award-winning freshmen
and Miaoli were allowed to get close to literature and
training and the implementation of professional with laptop computers to eliminate their digital
cultivate their word perception and writing skills.
course preparation resources. With the long-term learning barriers.

Emei Bilingual Junior High School Comprehensive


Assessment Program for Junior High School Students - TSMC Youth Student Literature Camp attracts the participation of young literature enthusiasts

Ratio of Grade C Students


The three-day literature
33% 23% 31% camp was only a small part
60% 31% 31%
of the long summer holiday,
60% 64% 38%
but it has sown the seeds
53% 38% 23%
of literature in my heart like
33% 23% 38%
roses in the meadow!

Literature camp participant


Emei Bilingual Junior High School

2020 2021 2022


Chinese English Mathematics Social Studies Natural Sciences
Dynamic challenges introduced students to the world of literature Tea tasting course of the second TSMC Youth Student Literature Camp

189
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Cultivate Arts and Cultural Talents and Promote the Heritage of Chinese Opera
Promote Music without Borders Educational Program Practical Chinese Opera Learning - Shattering Traditional Frameworks
In 2022, TSMC Education and Culture Foundation joined forces with National To promote the heritage of Chinese opera, the Education and Culture Foundation teamed up
Symphony Orchestra and Taipei National University of the Arts to sponsor the with Guoguang Opera Company in 2021 to launch the Chinese Opera on Campus Program,
Music without Borders Educational Program, to connect Taiwans music scene opening Chinese opera elective courses at National Tsing Hua University and National
to the international stage and vigorously cultivate world-class music talents. Tunghai University. The courses consisted of Chinese opera-related knowledge, opera script
The One-Minute Symphony Composition Project recruited young composers to appreciation and analysis, Peking opera performance demonstration and teaching; the class
engage in quick creation. German conductor Jun Märkl was invited to mentor the attracted 35 students to sign up since the beginning of the first semester in 2021, and the
young composers and their composing works were then performed by the NSO number increased to 95 in 2022. To deepen collaboration and facilitate the appreciation of
Promote Arts orchestra. The performance was appreciated by an audience of 5,973 people; For Peking opera, the Foundation also launched TSMC Theatre at National Yang Ming Chiao Tung

and Culture the Conducting and Composing Advancement Project, Lu Shao-Chia, a well-known
Taiwanese conductor residing in Europe, helped aspiring students to refine their
University to perform classic opera repertoires. Furthermore, campus micro-courses were also
held at three senior high schools in Hsinchu area, where wonderful, guided introductions were
conducting skills. The TNUA Orchestra Fall-Winter Concert 2022, was held and arranged in conjunction with live demonstrations by professional actors, taking students to
attracted an audience of 410 people. transcend time and space. The performances attracted 644 participants in 2022.
National Tsing Hua University students take Peking opera as their
What We Want to Solve elective and participate in opera performance
Improve predicaments faced by domestic
art groups such as inadequate resources,
loss of audience and heritage, and foster TNUA is extremely fortunate to receive
the public's interest in traditional arts and resources from the Foundation to carry
cultural activities to popularize the art and
on the heritage of the art education
make it an integral part of life.
program.
How We Respond Shien-Ta Su
Dean of TNUA School of Music
Apply digital technology to organize high-
quality offline and online arts and cultural
activities, sponsor exceptional domestic
art groups, provide a performance stage,
as well as organize rich, diverse programs
and activities to raise the public's interest
158,145
People participated in Hsin-Chu Art Festival's
in art appreciation and Chinese opera
off-line and on-line activities

Our Actions
● Cultivate Arts and Cultural Talents and
95
Promote the Heritage of Chinese Opera Students registered in the Chinese Opera on
Campus Program
● Promote Arts and Cultural Events
● Sponsor Cultural Broadcasting
Programs to Enhance Artistic Ambiance 26,821
People participated in the Foundation's off-
line arts and cultural activities

Music without Borders Educational Program cultivates potential conductors Guoguang Opera Company actors demonstrate how to
perform on stage and train potential talent 190
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Sponsor Cultural Broadcasting Programs to


Promote Arts and Cultural Events Enhance Artistic Ambiance
Combine Etiquette and Customs - Edutainment Promote Public Appreciation of Artistic Performances Produce the Radio Program Tales from Chinese Opera
The Foundation has sponsored the Tainan City Cultural Affairs Bureau Since 2003, TSMC Education and Culture Foundation has continued to To deepen the younger generation's knowledge in Chinese opera, convey
to hold the TSMC Youth Theater Project - 16 Year Old Festival since hold the TSMC Hsin-Chu Art Festival, a large-scale art and cultural event Chinese opera knowledge and classical Chinese literature through auditory
2015. The program theme is inspired by the 16 Years Old Coming of in Hsinchu, Taichung, and Tainan. In 2022, the theme was A Feast of sense the Education and Culture Foundation continued to collaborate
Age Ceremony, a traditional custom in Tainan, which combines three the Gods. A series of activities including drama, music, literature, history with IC Broadcasting Company in 2022 to launch the opera broadcasting
major strategies of "viewing theater, making theater, and performing lectures, and online film exhibition were held around the theme. The program Tales from Chinese Opera. Every week, the program introduces
theater" to bring youths closer to traditional customs and enrich their Foundation invited Peking opera masters to integrate technology with the provenance of classic operas and their performance styles. The
artistic cultivation. In 2022, youths are encouraged to portray the traditional art, collaborating with the National Symphony Orchestra, Taiwan program also interviews famous Peking opera actors and introduces
life of the younger generation through narrative history theater and Film & Culture Association, Guoguang Opera Company, and other art and the exciting on-stage and behind the scenes stories of Peking opera in a
montage-style directing. The program attracted 54 students from 25 cultural groups to take the audience to appreciate the cultural connotation humorous manner, as well as offers diverse channels for listeners. As of
high schools in Taiwan to attend in 60 performances, and a total of of Chinese and western myths through exquisite art performances.158,145 2022, more than 863,533 people have listened to the online program.
39,512 people participated in the promotional activities. The 16 Year participants joined 15 offline and 27 online events in total.
Old Festival has demonstrated tremendous success and became the Produce the Radio Program I-Yun Hsin Talks About the
cradle of Taiwan's artistic talents. Over the eight years, a total of 399 Enhance the Appreciation of Classical Music Classic of Poetry
youths has participated in the festival with 97 students studying at To enhance the public's appreciation of classical music, TSMC Education TSMC Education and Culture Foundation invited Professor I-Yun Hsin,
art-related departments in Taiwan or abroad. and Culture Foundation sponsored National Taichung Theater and a master of Chinese studies, to produce a Chinese classic lecture radio
New National Theatre, Tokyo to launch Mozart's opera The Magic Flute. program I-Yun Hsin Talks About the Classic of Poetry. Since 2018, he has
Darrel Ang, the artistic director of Sichuan Symphony Orchestra and a taught classics such as the Analects, Zhuangzi, Mozi, and Laozi; in 2022, he
renowned Singaporean conductor, was invited to collaborate with the selected contents from the Classic of Poetry to produce 43 episodes, using
National Symphony Orchestra and numerous top international vocalists simple but vivid explanations to share emotional descriptions and social
for four performances at the National Taichung Theater. Activities such as outlook in the Classic of Poetry. The program attracted a total of 692,075
performances, lectures, workshops, and stage tours enable the audience listeners.
to gain insight into the details of opera creation. A total of 7,825 people
participated in the event.

Tales from Chinese Opera program interview

Tales from Chinese Opera opened my


eyes to Peking opera, allowing me
to gain a deeper understanding of
traditional culture.

Jo-Ching Lin
National Hsinchu Girls' Senior High school

Young people are invited to perform at the 16 Years Old Festival to showcase their passion and vigor

191
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

TSMC Charity Foundation


Strategies 2030 Goals 2023 Targets 2022 Achievements

Empower the Rural


Community Note 1
Engage in cross-industry 10,000+ hours of volunteer reading every year 9,000+ hours of volunteer reading 1,175 hoursNote 2 of volunteer reading
collaboration to equip rural Target: 9,000+ hours
students with employability skills
and offer a variety of subjects for At least NT$18 million in annual donations to At least NT$15 million in annual donations to NT$15.29 millionNote 3 in donations
learning as well as living support disadvantaged people disadvantaged people Target: at least NT$15 million
through volunteer service
Benefit 10,000+ children in rural areas Benefit 5,000+ children in rural areas 6,358 children in rural areas benefited
Target: 5,000+ children

Care for the Elderly


Collaborate with hospitals across Serve seniors living alone 16,000 times every year via Serve seniors living alone 12,000 times via the Services offered 16,471 times
Taiwan through the Network of the Network of Compassion Network of Compassion Target: 11,000 times
Compassion to support medical
and social welfare institutions, 400,000 meal deliveries via the Network of 330,000 meal deliveries via the Network of Meals delivered 355,692 times
improving medical care for the Compassion Compassion Target: 310,000 times
elderly

Note 1: TSMC Charity Foundation combined rural education and local employment, and renamed the key focus Care for the Disadvantaged to Rural Empowerment" in 2022. Exceeded Achieved Missed Target
Note 2: In compliance with the epidemic prevention measures stipulated by the Central Epidemic Command Center and service institutions, the Foundation suspended volunteer services requiring physical
proximity for ten months in 2022.
Note 3: The donations were contributed by TSMC volunteers as well as internal and external donors supporting the Sending Love initiative in cash, excluding repairs and other supplies.

192
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Strategies 2030 Goals 2023 Targets 2022 Achievements

Promote Filial Piety


Work with the Ministry of Promote filial piety education in 120 educational Promote filial piety education in 70 educational Promoted filial piety education in 68 educational
Education and schools at different institutions institutions institutions
levels to produce teaching Target: 60 educational institutions
materials and organize workshops,
encouraging cross-generational
conversation and parent-child
communication for mutual
understanding

Protect the Environment


Implement green energy Benefit individuals 50,000+ times every year via the Benefit individuals at least 42,000 times via the Benefited individuals 48,143 times
initiatives advantageous to the Cherish Food Project Cherish Food Project Target: 42,000+ times
underprivileged and the Cherish
Food Project to conserve and Offer environmental protection-related volunteer Offer environmental protection-related volunteer Volunteer services offered 182 timesNote 4
generate energy and reduce services at least 1,200 times every year services at least 1,000 times Target: 1,000+ times
resource waste; promote energy
conservation and environmental
education in schools through Install solar panels for six social welfare institutions Install solar panels for six social welfare Solar panels installed for seven institutionsNote 5
volunteers every year NEW institutions Target: six institutions

Replace LED light tubes for 240 elementary schools Replace LED light tubes for 240 elementary LED light tubes Replaced for 246 elementary schools
every year NEW schools Target: 240 elementary schools

Note 4: In compliance with the epidemic prevention measures stipulated by the Central Epidemic Command Center and service institutions, the Foundation suspended volunteer services requiring physical Exceeded Achieved Missed Target
proximity for ten months in 2022.
Note 5: Resources had already been allotted to one social welfare institution and six elementary schools by the end of 2021; they are included in the statistics as the installation work in these institutions was
completed in 2022.

193
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Dedicated to becoming a force for positive change Foundation designed and offered career exploration Core Engagement
in the society, TSMC Charity Foundation has long activities, technical and vocational training, and job
committed itself to championing four social causes: matching services to fill vacancies in industry and
Empower the Rural Community, Care for the Elderly, academia.
Promote Filial Piety, and Protect the Environment.
Empower the Rural Community Care for the Elderly
Adopting a hands-on approach to serving those To play a part in Protect the Environment, the ● Close the urban-rural gap in educational ● Strengthen the care for seniors living
in need, the Foundation leads TSMC employees in Foundation invested in initiatives that generate resources by injecting resources into rural areas alone by providing much-needed
green energy for the good of the underprivileged. ● Partner with organizations to empower resources and companionship
efforts to care for members of society and engage
The Foundation installed solar power generators and vocational high school students in remote
with the government, industry and academia to jointly
townships and assist them with employment
close the urban-rural gap in learning resources and replaced existing lights with energy-saving LED light
● Provide underprivileged people
support economically underprivileged groups. tubes, saving and generating electricity at the same
with financial support to improve
time. These measures have provided underprivileged their living conditions and remove
In 2022, the Foundation primarily focused on groups with regular funding from generating green barriers to education
Empower the Rural Community, matching rural power and built classrooms with better lighting in
education goals to students' employability skills. schools in remote areas, while at the same time
Partnering with enterprises with the same vision, the saving money on electricity bills.

Promote Filial Piety Protect the Environment

31,760 62,684 372,690


● Diversify creative efforts to instill ● Conserve and generate electricity for social
the virtue of filial piety welfare institutions through implementing
green energy initiatives advantageous to
Service Hours Donations Beneficiaries the underprivileged and the Cherish Food
Project
● Provide environmental and
energy conservation education
to cultivate sustainable
practices

>$223.07million 11,378 7,607


Social Investments (NT$) Volunteers Volunteer Service Times

194
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

TSMC Charity Foundation Contributions


What We ContributedNote 1 How We ContributedNote 2 Focuses of Contributions Unit: NT$ ten thousand

6.9% 69.5% 1.7% 1.0%


Cash Goods and 2.0% Charitable DonationsNote 2 8.8% Promote Filial Piety
$1,530 Services Commercial $373 Care for the $224
$15,515 Initiatives 96.3% Elderly 57.1%
$449 Community $1,968 Empower the
Investments Rural Community
23.6% $21,485 $12,736
Time
$5,262
Total Amount Total Amount Total Amount

$22,307 $22,307 $22,307

33.1%
Protect the TSMC volunteers lead rural children to tell stories with videos
Environment
$7,379

Total Number of VolunteersNote 3 Number of Volunteered Sessions Volunteered Hours


Unit: Number of Volunteers Unit: Person-time Unit: Hours

Note 4 Note 4
10,266 8,174 10,451 10,644 11,378 27,590 17,593 12,177 4,932 7,607 109,059 83,797 64,779 26,357 31,760

2018 2019 2020 2021 2022 2018 2019 2020 2021 2022 2018 2019 2020 2021 2022 In the information age, TSMC volunteers help rural schools improve
digital capabilities

Note 1: Types of contributions are sorted using the definitions of the Dow Jones Sustainability Index (DJSI) into the categories of cash, time, goods and Note 3: The numerous volunteers participating in the Foundation's charity events include TSMC employees, former employees, retired employees, families
services, and all forms of donations are converted to be shown in New Taiwan dollar (NT$) for percentage calculation. Time equals volunteered of employees, employees of partner corporations, and students under University Social Responsibility (USR) programs
hours multiplied by hourly pay, whereas goods and services equal the amount of money invested by TSMC to provide such goods or services and Note 4: In response to the prevention and control of COVID-19, volunteer activities requiring physical proximity were suspended from the second half of
the management thereof 2021 to the second half of 2022, in compliance with the Ministry of Health and Welfare's pandemic policies and the rules put in place by TSMC's
Note 2: Types of projects supported are sorted using the definitions of the Dow Jones Sustainability Index (DJSI) into the categories of commercial activities, pandemic prevention committee
charitable donations and community investments, and all forms of donations are converted to be shown in New Taiwan dollar (NT$) for percentage
calculation 195
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Strategies to Empower the Rural Community

Learning Accompany
Teaching service provided by volunteers and University Social
Responsibility (USR) programs

Empower the
Rural Community
Employment
Adaptive development
Vocational high & high school
Middle & primary school
What We Want to Solve Empower the Rural
Schools in remote areas and schools neither 3 Community 1
in mountains nor cities are plagued by
● From poverty to prosperity
the inadequate distribution of educational
● Youth empowerment
resources and the unsatisfactory support
systems hindering students' pursuit of
higher education and employment. Family Support Equipment &
Resources
How We Respond Emergency
aid, NT$10,000 Renovations, Internet,
Integrate resources from industry,
Per Household ● Diverse exploration activities
2 ● Dynamic technical and computers & tablets,
government and academia to help students
program, ● Subject-based remedial vocational training solar panels, energy-
in elementary schools and junior highs in Lead by example
rural areas improve their subject-oriented scholarships education ● Employment empowerment efficient LED lights,
● Occupational exploration Vocational high & high courses
studies and cultivate the employability skills transportation
school students as learning ● Job matching platform
of vocational high school students through companions for middle & vehicles
career exploration activities. primary school students or
Three approaches to rural Three phases of technical
education underprivileged individuals and vocational employment
Our Actions
● Close the Urban-rural Gap in Educational
Resources by Injecting Resources into
Rural Areas
● Partner with Organizations to Empower Food Support
Vocational High School Students in
Meals sponsored and distributed by food businesses and food banks
Remote Townships and Assist Them with
Employment Installation of freezers for food preservation
● Provide Underprivileged People with
Financial Support to Improve Their Living
Conditions and Remove Barriers to
Education
196
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Close the Urban-rural Gap in Educational Resources by Injecting Resources into Rural Areas
A Variety of Education to Close the
Resource Gap TSMC Participating Cooperating Institutional
In 2022, volunteers led by TSMC Charity Foundation Units Units Beneficiaries
continued visiting elementary schools in remote TSMC Charity Foundation, TSMC 104 Job Bank, China Medical 10 after school programs, 124 elementary schools (1 in Yilan County,
townships and NGOs providing after-school care to Storytelling Volunteers, Legal, University Hospital, education 1 in Kinmen County, 5 in Nantou County, 3 in Pingtung County, 1 in
offer students a variety of enjoyable and instructive Quality & Reliability, Fab 2 & Fab 5, bureaus of local governments, Miaoli County, 2 in Taoyuan City, 14 in Kaohsiung City, 41 in Yunlin
activities and lessons, including picture book reading, Fab 18B, Fab 15B, Intelligent Ming Chi University of Technology, County, 3 in New Taipei City, 2 in Hsinchu City, 27 in Hsinchu County,
post-processing in photography, and media literacy. Manufacturing Center, Production National Yang Ming Chiao Tung 1 in Chiayi County, 2 in Changhua County, 1 in Taichung City,1 in
Courses on enhancing the pedagogical skills of Control Integration Department, University, National University of Taitung County, 19 in Tainan City)
teachers in rural schools were also organized. In Intelligent Engineering Center Tainan, BoniO Inc.
response to the Ministry of Education's focus on science
education and hands-on inquiry in the 2019 Curriculum,
the science games designed by the Foundation were A Fab 12A volunteer builds a gingerbread house with
member of an underprivileged community during IMC volunteers guides pupils in after-school programs
combined with AI programming courses to cultivate
Christmas season to instill the idea of sustainability
students' scientific thinking skills. Working with National
Yang Ming Chiao Tung University on the Programming
Education Plan for Hsinchu County project, teachers
TSMC's program for enhancing 134 schools
teachers' pedagogical skills covers Served schools and after-
and students residing in rural areas were taught how
a variety of subjects and is very school programs
to utilize programs to solve life problems. During the
informative. A number of tools
pandemic, the Foundation distributed science learning
materials and conducted science experiments online so
were introduced to help more
disadvantaged families.
6,358 students
students could learn remotely. Students benefited
Lan-Hsiang Liang
Encourage Career Exploration and Focus Director of Heart's Ease Care Association
44,254 users
on Adaptive Development World of Jobs, Road to
To expand educational resources in rural townships Employment website accessed
TSMC volunteers led students to users
and provide rural students with information about conduct enjoyable experiments
career choices, the Foundation has partnered with 104
Job Bank since 2021 to launch the World of Jobs, Road
relevant to our everyday life.
Students were inspired to learn
412 times
Volunteer reading services
to Employment website, on which interest quizzes actively, expand their horizons, and
based on the Holland Codes were introduced to help
students learn about their personality traits. A total of
be passionate about science!
2,060hours
104 vlogs featuring professionals from all walks of life Ching-Fang Yang Volunteer reading
Principal of Tainan Municipal Zuojhen
were produced and featured on the website as valuable Elementary School
reference for students exploring career paths.

IEC volunteer lead pupils of Meihua Elementary


School to conduct enjoyable science experiments 197
as part of a long-term undertaking
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Partner with Organizations to Empower Vocational High School Students in


Remote Townships and Assist Them with Employment
Technical and Vocational Training and
Empowerment to Boost Employment TSMC Participating Cooperating Institutional
To encourage students to start their careers in their
Units Units Beneficiaries
hometowns and find a solution to workforce shortage, TSMC Charity Foundation, 104 Job Bank, 15 TSMC suppliers, National Pei-Kang Agricultural & Industrial Vocational High School, Tu Te
the Foundation in 2021 began to partner up with Human Resources, Material Microsoft Taiwan, Chi Mei Industrial and Home Economics Vocational High School, National Nei-Pu
corporations to organize technical and vocational training Supply Chain Management Food Co., Ltd., Semiconductor Senior Agricultural-Industrial Vocational High School, National ChiaTung
events in rural areas. Through 30 hours of dynamic Division Equipment and Materials Agricultural Vocational Senior High School, National Tung Kang Maritime and
vocational training, some students were able to acquire International Organization, Lohas Fishery Vocational High School, National Heng-Chun Industrial & Commercial
specialized skills that landed them jobs straightaway. Biotech Development Corp. Vocational High School
The Foundation also arranged for trained vocational
high students in rural areas to bring after-school study
services to local elementary schools and social welfare
institutions, thereby building a successful mode of Dynamic technical and vocational
public welfare beneficial to all parties. Collaborating with The dynamic technical and vocational training sessions
Microsoft Taiwan and several labor affairs bureaus on
Microsoft Office 365 skill enhancement program, the
training session tested our ability to
respond appropriately in a given situation.
2 78 14
Rural Students Letters of
Foundation honed the Microsoft Office competency skills Upon completion of the training, there's vocational participated appointment
of disadvantaged youths and augmented the information a chance you could be hired by Chi Mei high schools issued
education of rural teachers. Food. The training boosted my confidence
and expanded my knowledge.
Call on Fellow Enterprises to Offer Job Yi-Chen Lin
Opportunities student from Yu Te Industrial and Home
Economics Vocational High School
Partnering with Semiconductor Equipment and Materials
International Organization (SEMI), the Foundation held a
lecture titled Technical and Vocational Talent Development Job matching Microsoft job
in the Field of Semiconductors during SEMICON Taiwan program enhancement
courses
2022, inviting members of industry, government and
academia to share their perspectives on the advantages
of pursuing higher education and employment. Appeals 30 3
Enterprises City / county
were made to the industry to analyze the structure of
participated government
workforce costs, restructure internal positions, hire participated
vocational high school students, and look for solutions
to workforce shortage. The Foundation simultaneously 600 451times Students from National Nei-Pu Senior Agricultural
and Industrial Vocational High School visit
Students from the Department of Culinary Arts of Yu Te
Industrial and Home Economics Vocational High School are
worked on building a job matching platform on its Job opportunities Rural teachers and corporate booths for information on employment led by instructors from Chi Mei Food in breadmaking
World of Jobs, Road to Employment website, increasing offered underprivileged
students benefited
employment opportunities and the range of job
selections for technical and vocational high school talent. 198
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Provide Underprivileged People with Financial Support to Improve Their Living


Conditions and Remove Barriers to Education
Support the Lives of Underprivileged
People TSMC Participating Cooperating Institutional
In collaboration with the departments of social affairs
Units Units Beneficiaries
of local governments, the Foundation launched Human Resources, TSMC Community Volunteers, TSMC Charity Foundation, System Association of Literacy 7 social welfare
the NT$10,000 Per Household and emergency aid Package Integration Program, Quality & Reliability, More-than-Moore Technologies, Product Education, Taiwan, social/ institutions, 7 after-
programs to financially support underprivileged Derivative Technology Development Division, Product Engineering, E-Beam Operation education bureaus of schools, 23 schools
families and families tackling emergencies. For rural Division, Fab 8, Fab 12A, Fab 12B, Fab 18A, Fab 15B, Fab 14A, Fab 14B, Fab 6, Intelligent local governments / educational
and underprivileged farmers ending up with surplus Manufacturing Center, Production Control Integration Department institutions
produce due to lack of market information, TSMC
volunteers help them out by way of harvesting, bulk
order or sale, giving the earnings back to farmers Volunteers from Fab 14A and Fab 14B help senior pomelo farmer out
or donating such surplus produce to social welfare by harvesting and buying surplus pomelos

institutions.
Thanks to TSMC bringing resources to 131times 68families
Support the Pursuit of Education and Community volunteers Beneficiaries of
underprivileged families, a lot of stress
NT$10,000 Per
Operation of Institutions from day-to-day living was taken off their Household program
shoulders. They felt society was there to
917hours
To support hardworking students who can not
afford to go to school due to their families' financial help and that they weren't alone. 95students
Volunteer services Scholarships awarded to
conditions, the Foundation offered scholarships for Hsiang-Mei Huang underprivileged students
underprivileged students on the recommendations of Social worker of the private long-term home care
local departments of education and schools. With the institution under Stella Matutina Social Welfare
Foundation
help of the Sending Love platform, appeals were made
to the public and corporations to make donations.
In 2022, the Foundation funded six rural schools to 30.09 million
When I saw the living environments of Voluntary fundraising
participate in the School of Future Competencies:
seniors living alone, I could feel their by TSMC employees
Initiative to Support Model Schools in Rural Areas
loneliness and difficulties. I was glad to be via TSMC i-Charity
Cultivating Reading Competencies project and installed platform
able to help, and I would like to have more
distance learning equipment. In addition to purchasing
opportunities to help them in the future.
items for learning purposes for rural students, the
Foundation utilized the TSMC i-Charity platform to Hsiao-Ping Tou
secure monthly donations of fixed amounts and Fab 10 volunteer
Accompanied by the TSMC
organize charity concerts for fundraising. All of these Production Planning Integration
department volunteers, pupils from
donations went to NGOs committed to long-term rural after-school programs attend a
charity concert
educational efforts.

199
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Strengthen the Care for Seniors Living Alone By Providing


Much-needed Resources and Companionship TSMC Participating Cooperating Institutional
Units Units Beneficiaries
Through the Network of Compassion, the Foundation combines resources from
medical and social welfare institutions to meet the needs of seniors living alone in TSMC Community Volunteers, 15 medical and social 10 eldercare
rural areas, including offering medical services, meal deliveries, and transportation TSMC Charity Foundation, welfare institutions institutions and
vehicles, thereby reinforcing the quality and mobility of resources dedicated to Quality & Reliability, Product under the Network of 2 medical service
caregiving in rural areas. Due to the rising need for providing long-term care in Derivative Technology Compassion, Taipei institutions
local communities, the Foundation in 2022 collaborated with Taipei Municipal Gan- Development Division, Product Municipal Gan-Dau
Dau Hospital and National Yang Ming Chiao Tung University to build a new smart Engineering/E-Beam Operation Hospital, National
fitness club for seniors. Smart workout devices adapted to train aging muscles were Division, Fab 2 & Fab 5, Fab 3,
Care for the
Yang Ming Chiao Tung
introduced to help prevent disability and delay aging. Fab 8, Fab 12A, Fab 12B, Fab University
Elderly During the COVID-19 pandemic, seniors aged 65 years and older were at high risk
18A, Fab 15B, Fab 14A, Fab 14B

of severe illness. The Foundation provided long-term care institutions with pandemic
prevention and control supplies and nutritional supplements to safeguard the The volunteers dedicated to repair and energy conservation from the Division Physiotherapists guide the elderly to exercise
health of seniors and caregivers. Although services requiring physical proximity were of Facility visited earthquake-hit homes to assess the extent of the damage through smart home fitness equipment
What We Want to Solve suspended, TSMC volunteers led handicraft activities through video conferencing,
As Taiwan will soon become a super-
aged society, the need for long-term care
participated in empowering seniors through storytelling initiatives, and handed out
heartwarming presents during holidays and festivals, enriching the lives of seniors
355,692 times
services and facilities increases every year. Meals delivered
Insufficient resources pose a particular residing in caregiving institutions. When the September 2022 Taitung earthquakes

3
challenge to the health and well-being damaged many homes of seniors living alone and disadvantaged families, TSMC
of senior citizens living in rural areas and volunteers joined forces with providers and local governments to repair the
seniors living alone. Helped caregiving
damaged houses, employing their facility expertise and efficient division of labor.
institutions buy
Throughout the repair process, sufficient care was given to the everyday living
How We Respond transportation vehicles
spaces and environments of disadvantaged seniors and their supply of goods.
Strengthen the Network of Compassion
system to bring together medical and
social welfare institutions and integrate and
distribute long-term care resources from the
government and social welfare institutions TSMC helping set up a smart fitness club for seniors in the community
to offer comprehensive and quality care to
the seniors living in rural areas and seniors
living alone.
can utilize the technology researched and developed by the university
to measure precise health stats that will help seniors get the most out of 285 homes
their workouts. Repaired homes
damaged in the
Our Actions Chi-Hung Lin September 2022 Taitung
President of National Yang Ming Chiao Tung University earthquakes
Strengthen the Care for Seniors Living
Alone By Providing Much-needed
Resources and Companionship With the long-term commitment of TSMC volunteers, we have been
able to locate resources and enhance the overall quality of our services.
62
Offered care to
Despite all the difficulties we've had, they've supported us all the way, households of
enabling us to establish a sheltered workshop. underprivileged seniors
or seniors living alone
Yu-Wen Huang
Director of St. Camillus Center for Intellectual Disability
Volunteers from the Quality & Reliability department helped seniors living
alone with year-end-cleaning of their living environment 200
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Diversify Creative Efforts to Instill the Virtue of Filial Piety


The Foundation continued working with the K-12 Education
Administration under the Ministry of Education to organize parent-
TSMC Participating Cooperating Institutional
child workshops on filial piety, drawing activities, and contests Units Units Beneficiaries
receiving entries on the subject of filial piety. The teaching plans
TSMC Charity Foundation, Chung Yuan Christian Si Wei Elementary School, TEAMTC
and demonstration videos of the parent-child workshops on
Product Derivative University, Ming Chi Community Services Association,
filial piety developed by the Foundation were made available
Technology Development University of Technology, Guang Rong Elementary School,
for educational agencies to download free of charge. Though
Division, Product K-12 Education ShuangLong Elementary School,
Promote Filial storytelling activities by volunteers were suspended during the
pandemic, the TSMC i-Charity platform was used to raise funds
Engineering, E-Beam Administration Heshing Elementary School,

Piety for pupils and their families in rural areas to watch family-themed
Operation Division Beyond the Hill Bookstore

movies on Mother's Day, in a bid to let children understand and


appreciate the hard work of family caregivers, and to remind the
latter to pay attention to their own health and well-being.
Filial piety parent-child workshop guides parents and
What We Want to Solve children to get to know each other better through painting

The rapid advance of the digital era has


caused generational estrangement and A family's warmth is an important stabilizing power for 1,272 pieces
put the family support system at risk of middle-aged parents and children. These activities for Excellent drawings, comics,
becoming vulnerable. The traditional stories and mini-films on
promoting filial piety helped children to have a conversation
culture of filial piety has seen a gradual the subject of filial piety
decline, with ever fewer people espousing with their parents and grandparents, allowing them to learn received
and practicing the virtue. more about each other. It was heartwarming and moving.

Yen-Kuang Pu
68 institutions
How We Respond Director of the General Education Center, Ming Chi University Promoted filial piety
Combining resources from industry, of Technology education in 2022
government and academia, and by way of
educational materials, interactive activities
and contests calling for entries, parent-child
conversation is guided to achieve better
understanding and to develop cohesion in 10 workshops
families and harmony in society. Organized parent-child
workshops promoting
Our Actions filial piety
Diversify Creative Efforts to Instill the
Virtue of Filial Piety >150 families
Led 150 families to have
intimate conversations

TSMC volunteers accompanying children crafting cards for Mother's Day to show gratitude

201
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Conserve and Generate Electricity for Social Welfare Institutions Through Implementing Green Energy Initiatives
Advantageous to the Underprivileged and the Cherish Food Project
In the Foundation's efforts to promote green energy,
partnerships have been with local governments and TSMC Participating Cooperating Institutional
social welfare institutions to sponsor the installation of Units Units Beneficiaries
solar panels on the rooftops of institutions. In 2022, the
TSMC Charity Foundation, 7 food companies Tainan City's Ren-Ai Home, Presbyterian
Foundation built seven solar power plants, reducing
Fab 15A and 4 vocational Church in Taiwan Zhutian Church, Pingtung
the monthly electricity bills of social welfare institutions.
universities Christian Bethany Home, 2 elementary
Through electricity wholesale based on feed-in-tariff
Protect the contracts, these institutions receive NT$2.76 million
schools in Yunlin County, Madou Resthome,
Shun-Yuan Eldercare Center
Environment every year to fund their operations. To improve
school lighting for elementary school students, the
Foundation funded underprivileged students enrolled
in vocational colleges to install LED energy-saving light
What We Want to Solve tubes in 246 schools across Taiwan, saving as much as
Solar panels installed on the idle rooftop of Tainan City's Ren-Ai Home for Senior Citizens

Climate change impacts the natural NT$9.61 million in electricity fees every year―enough
environment and threatens certain Achievements of the Cherish
species. It particularly strains the support
to pay for 320,000 more school lunches. To help solve Food Project
systems vital to underprivileged groups, the problem of hunger and reduce food waste, the
calling into question the stability of such Cherish Food Project was launched, working with 2022 48,143
systems in the face of environmental
seven food businesses to distribute goodwill foods to
disasters, inequalities in energy 2021 58,862
Note
distribution, and malnutrition. 130 underprivileged groups in 16 cities and counties,
benefiting individuals 48,143 times.
2020 37,071
How We Respond
With a comprehensive focus on the
environment, ecology and society, the Volunteers from Kun Shan University visiting a rural school to
Foundation works with industry, government replace existing lights with energy-efficient lights
and academia to devise plans that help Student volunteers helping to replace lights in Before installation of solar panels After installation of solar panels
underprivileged groups reduce resource schools not only warmed the hearts of pupils
waste and energy consumption and develop and faculty members but saved 30% on the
renewable energy sources. TSMC volunteers
work on promoting environmental and electricity bill. 7 9.61million
energy conservation education. Te-Wen Hsu Solar power plants built Electricity fees saved per year
Principal of Tainan Municipal Yanshuei District (NT$)
Our Actions Annei Elementary School
● Conserve and Generate Electricity for 2.76 million 246 schools
Social Welfare Institutions Through When we improved the school's overall Electricity wholesale earned School installed energy-saving
Implementing Green Energy Initiatives per year (NT$) LED light tubes
Advantageous to the Underprivileged lighting, the children were very happy about
and the Cherish Food Project it. That made us happy, too.
● Provide Environmental and Energy
Conservation Education to Cultivate
Chun-Yu Lin
Volunteer from Kun Shan University under the
130
Underprivileged groups benefited
Sustainable Practices University Social Responsibility (USR) program
regularly from the Cherish Food Project
202
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Provide Environmental and Energy Conservation Education to Cultivate Sustainable Practices


The Foundation continued to lead TSMC volunteers to
give diverse tours and offer courses on environmental TSMC Participating Cooperating Institutional
and energy conservation to raise awareness of Units Units Beneficiaries
environmental protection and sustainability among
TSMC Charity Foundation, Production Control Integration Industrial Technology Research Institute, After School Association of Taiwan, Love
rural students and the general public. TSMC Ecology
Department, Fab 6, Intelligent Manufacturing Center, Jacana Ecological Education Park, Old Tree Infinite Association of Taiwan, Hsinchu
Volunteers, dedicated to the work of ecological
TSMC Ecology Volunteers, TSMC Energy-Saving Yard Environment Protection Foundation, I-Link Association, Shinmei Elementary
preservation, invited and funded rural students to
Volunteers, TSMC Museum Tour-Guiding Volunteers National Museum of Natural Science School
travel to TSMC's premises, where they were given an
informative ecological tour. As part of the focus on
environmental education, the students learned about
biodiversity as well as water and energy conservation. Environmental protection-related
Ecology Volunteers gave tours in Jacana Ecological volunteer services
Education Park to talk about conservation systems and
introduced the public in the National Museum of Nature
2022 499
Science to semiconductor science and technology. In
2021 794
2022, the Foundation co-published the picture book
Fen-Fen's Promise with Old Tree Yard Environment 2020 1,044
Protection Foundation, whose mission is to promote old
trees, protect forests, and advocate for an eco-friendly
Ecology Volunteers lead children to learn about the features of different plants and their roles in the ecosystem
education that views old trees as our friends.

Many thanks to TSMC for introducing


students to how an effective water recycling
system works. It inspired them to care more
about environmental protection issues and
take action.
FAB 6 volunteers visit rural schools to teach pupils about concepts of
Ssu-Hsian Li
energy conservation

182 times
Head of Sanitation Division, Guo-An Elementary School

Ecology Volunteer services


I hope the concept of ecological sustainability offered
will bring about incremental yet profound
changes to the public. >728 hours
Pei-Chun Tu Ecological tours
TSMC volunteer
203
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Operations and
Governance
Corporate Governance 205
Financial Performance 210
Tax 212
Information Security 213

204
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Corporate Governance
TSMC advocates and acts upon the principles of governance more comprehensive, the TSMC Board selection mechanism for directors, build diversified Board of Directors and Committees
operational transparency and respect for shareholder took a step further in February 2023 to expand and professional board, TSMC's Board of Directors
Inheriting the spirit of TSMC's Founder, Dr. Morris
rights. We believe that the basis for successful and strengthen the functions and responsibilities approved the establishment of the "Nominating,
Chang's philosophy on corporate governance,
corporate governance is a sound and effective of its committees, including renaming the "Audit Corporate Governance and Sustainability Committee"
under the leadership of Chairman Dr. Mark Liu and
Board of Directors. In line with this principle, TSMC Committee" to the "Audit and Risk Committee", referencing international practices. Each Committee
CEO & Vice Chairman Dr. C.C. Wei, TSMC's Board of
Board of Directors set up the "Audit Committee" and and the renaming the "Compensation Committee" supports the Board to fulfill its responsibilities and
Directors takes a serious and forthright approach
the "Compensation Committee" in 2002 and 2003 to the "Compensation and People Development each Committee's chairperson regularly reports to
to its duties and is a dedicated, competent and
respectively. To continue to make our corporate Committee". In addition, in order to strengthen the the Board on its activities and recommendations.
independent Board.

Governance Structure Compensation Nominating, Corporate


Board of Audit and Risk and People Governance and
Title/Name
Directors Committee Development Sustainability
Committee Committee
Appoint
Shareholders' and Dismiss Chairman
Meeting Officers, Evaluate Mark Liu
Supervise Management
Performance, Review Vice Chairman
Audit and Risk Committee Note Succession Plan C.C. Wei
Director
Board
F.C. Tseng
Responsibilities
Board of Directors Director
Compensation and People Provide Ming-Hsin Kung
Chairman
Development Committee Note Guidance to the (Representative of
Resolve Critical
Vice Chairman Management National Development
Matters
Team, Risk Fund, Executive Yuan)
Management
Nominating, Corporate Independent Director
Governance and Sustainability Sir Peter L. Bonfield Chair
Committee Note
Independent Director
Kok-Choo Chen
Independent Director
Note: On February 14, 2023, the Board of
Directors approved the renaming Corporate Michael R. Splinter Chair
CEO Office Internal Audit
of "Audit Committee" to "Audit and Governance Officer Independent Director
Risk Committee", and the renaming
Moshe N. Gavrielov Chair
of "Compensation Committee"
to "Compensation and People Independent Director
Development Committee", and Yancey Hai
the establishment of "Nominating,
Corporate Governance and Executives Independent Director
Sustainability Committee". L. Rafael Reif
Financial Expert
Jan C. Lobbezoo
Consultant
205
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Nomination and Election Directors the Directors. As such, the Board of Directors carries the Company's ESG vision and actions and delivering the Board of Directors' risk oversight of TSMC's
independence. sustainable value for TSMC and its stakeholders. To ERM framework. At the management level, the risk
TSMC has established the "Guidelines for Nomination
support the implementation of the Risk Management governance structure comprises the Risk Management
of Directors" that set out the procedures and criteria For more details of "Corporate Governance", please Policy, the Enterprise Risk Management (ERM) Steering Committee, Risk Management Executive
for the nomination, qualification and evaluation refer to TSMC's Annual Report and www.tsmc.com. framework was enhanced in 2022 to outline the risk Council, Risk Management Taskforces, Central Crisis
of Director candidates to be nominated by the
management mechanisms, processes, systems and Command Center, and Crisis Management Team.
Board of Directors, and provide that "Nominating, Risk Management tools. Through a 5-step process of identification, Risk Management Division works with each function
Corporate Governance and Sustainability Committee"
TSMC adopts a balanced risk-reward approach to assessment, response, monitoring, and review, in applying the ERM framework to ensure that
will propose independent director candidates to
risk management to optimize business returns potential sustainability risks such as climate change, significant risks and crises across TSMC are assessed
the Board of Directors. The independence of each
while considering the overall impact on corporate utility supply, earthquakes, fires, and chemical spillage and adequately mitigated. This is performed through
independent director candidate is also considered
sustainability. In 2022, the Risk Management Policy as well as emerging risks are managed. A series of risk monitoring, conducting workshops, visiting sites,
and assessed under relevant laws. The members of
was established, approved by the Board of Directors training courses are provided to foster a risk-aware participating in key meetings, and implementing risk
TSMC Board of Directors are nominated via rigorous
and signed off by the Chairman, affirming the mindset and culture. related policies and guidelines. For more details on Risk
selection processes. It not only considers background
Company's commitment to a proactive and robust risk Management, please refer to 6.3 Risk Management in
diversity, professional competence and experience, TSMC further renamed the Audit Committee to Audit
management system that assist TSMC in making well- TSMC's 2022 Annual Report.
but also attaches great importance to his/her personal and Risk Committee in February 2023 to enhance
considered and risk-based decisions, thereby fulfilling
reputation on ethics and leadership. Directors shall
be elected pursuant to the candidate nomination
system specified in Article 192-1 of the R.O.C. Company
Law. The tenure of office for Directors shall be three
years. The Company aims to have at least of 50%
independent directors and as least one female director
to serve on the Board.

Board Diversity and Independence


The ten members of the Board of Directors represent
diversified perspectives, including a complementary
mix of skills, experiences, and backgrounds such
as that from the industry, academia, and in law. etc.
These professionals include citizens from Taiwan,
Europe and the U.S. with world-class business
operating experience, one of whom is female. The
six Independent Directors constitute 60% of the
Board, and there is no marital or is within the second
degree of kinship relationship between or among

206
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Enterprise Risk Management Framework Risk Management Governance Structure

Coporate Strategy
Technology Leadership, Manufacturing Excellence, Customer Partnership

Board of Directors

Board of Directors

Audit and Risk Committee


Board of Directors
Risk
Governance Audit and Risk Committee
Management

Risk Management Policy & Procedures


Risk-aware Culture

Risk Management Steering


Committee
Identification Assessment Response
Risk
Monitoring Review Functional heads, VP level
Management
Process

Risk Management Executive


Council Risk
Management
Members titled as Risk Management
Key Risk Indicators/Risk Registers Division
Champion, director-level
Management
Risk Tools

Risk Management Competency & Communication Risk Management Taskforces


Representatives from each fab/division

Integrated Risk Management IT System

207
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Ethics and Regulatory Compliance


Ethics
"Integrity" is the cornerstone of TSMC's business reported incidents. The Ethics Committee meets reported incidents under investigation. Four Committee. The Company will take progressive
philosophy. TSMC established its TSMC Ethics quarterly or whenever necessary. In 2022, the incidents were verified upon investigation and disciplinary actions according to the nature and
and Business Conduct Policy (Ethics Code) to Ethics Committee met six times to examine major determined for disciplinary action by the Ethics severity of each misconduct.
be the guide for operating TSMC's business
and to form a robust culture of integrity within Ethics Compliance Activities
TSMC, with "integrity, commitment, innovation
and customer trust" as our core values. At the ● New employees declare at their first day joining TSMC
same time, by establishing the Supplier Code of Conflict of interest declaration ● Annual declaration: the designated managers or employees according to the Ethics Code
Conduct and Supplier Sustainability Standards,
TSMC extends its core value of integrity into ● New employees: Ethics Training Course for Newcomers
Prevention Training
its supply chains and to enable suppliers to
● All employees: Annual Ethics and Compliance Training Course
demonstrate business with integrity behavior.
Continuous promotion ● Posters, emails, internal news articles, face-to-face communication
In addition, TSMC publishes its TSMC Anti-
Corruption Commitment on the TSMC website to ● TSMC Sustainable Supply Chain ESH Forum: conducting face-to-face communication for the Supplier Code
emphasize TSMC's commitment to its core value Communication with suppliers of Conduct and sharing TSMC's practices. In 2022, 354 attendees from 116 suppliers participated (including
through online meeting) in these activities
of integrity.
● Online courses available on TSMC Supplier Sustainability Academy: in addition to the existing courses "Supplier
Code of Conduct – Ethics" and "How to formulate your code of ethics, in 2022, 2 new courses – "Insider Trading"
TSMC established and published the Complaint and "Antitrust Laws" – were added
Policy and Procedure for Certain Accounting
● Annual Control Self-Assessment (CSA)/Yearly ● Annual Self-Assessment Questionnaire (SAQ) from ethics risk,
& Legal Matters, making multiple reporting
Internal self-examination ● Internal Audit/Irregular control, and management three approaches to comply with
channels available for internal and external Responsible Business Alliance (RBA) requirement
voices, and accepting anonymous reports to
protect the rights and interests of the Company External verification ● RBA Validated Assessment Program (VAP)
Detection
and its constituencies. All reported incidents
Internal/external reporting ● Internal: Whistleblower System, Ombudsman System
collected from these reporting channels are
channels ● External: Irregular Business Conduct Reporting
properly recorded, confidentially investigated,
well traced, and enhancements to TSMC Administrative discipline/legal ● The Ethics Committee investigates the reported incidents and determines the disciplinary actions, which are up to and
practices are made where applicable. TSMC action including immediate dismissal, termination of business relationship, and legal actions as appropriate (In 2022, four
incidents were verified upon investigation and determined for disciplinary action by the Ethics Committee)
keeps individual identities confidential and
prohibits any retaliation on any individual who
Review and analyze corruption risk ● Corruption risk assessment is mainly based on business activities, supplemented by operating bases
in good faith reports a suspected violation or assessment
participates in an investigation. Furthermore, ● The Ethics Committee will evaluate each incident to determine whether it is an exceptional case or whether
TSMC has an Ethics Committee that oversees Continuous Proposed improvement program it results from systemic issues of insufficient awareness in ethics. This allows TSMC to continue evaluating
the implementation of the Ethics Code and
Enhancement whether it is necessary to improve its management and internal control procedures

investigations and disciplinary actions for Implement improvement ● To leverage the violation cases and to strengthen ethics training for all employees in supplier-related
program activities

208
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Regulatory Compliance
TSMC operates in many countries. To ensure that 2022 Achievements
every business activity in compliance with applicable
governing legislation, laws, regulations and regulatory
expectations, TSMC has established a complete Regulatory Compliance
regulatory compliance system, including a sequence
● In 2022, TSMC did not receive any reports related to finance, accounting or antitrust matters, nor did we receive any complaints concerning breach of
of regulatory identification, compliance policy and
customer privacy and loss of customer data, or any material regulatory violations (where a fine exceeds NT$1 million), including non-monetary sanctions.
procedure formulation, implementation and execution, ● More information related to TSMC ethics and regulatory compliance, please refer to the 3.5 Ethics section and 3.6 Regulatory Compliance section of TSMC
compliance training program, to closely monitor 2022 Annual Report.
domestic and foreign government policies and
regulatory developments that could materially impact
TSMC's business and financial operations.

Training
Regulatory Compliance and policy and
procedure formulation Ethics Training Course for Annual Ethics and Conflict of interest declaration /
Online Online
Newcomers Compliance Training Declaration of Compliance with the
program program
Regulatory inventory check New employees in Taiwan sites
Course Ethics Code
(including contractors) All employees New employees, the designated
managers or employees according to
the Ethics Code
Regulatory monitor and update
Performance by person Unit: Person Performance by person and ratio Declaration by Unit: Person-time
person-time
99.9 99.9
Regulatory identification 99.7 28,717
11,273
10,291 67,922 27,291
59,366
50,482 18,235
Regulatory compliance review
108 5,201 109 108 109 108 109

Policy and procedure formulation or update

2020 2021 2022 2020 2021 2022 2020 2021 2022


Training and promotion Completed (person) Ratio (%)

209
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Financial Performance
TSMC believes a strong financial foundation is the value. As the insatiable demand for computation will Four Strategies to Increase Long-term Investment Value
key to corporate sustainability. Since the founding of propel the continued drive for chip performance and
the Company, TSMC has developed prudent business a greater need for energy-efficient computing, TSMC
plans, adhered to disciplined capital management, expects strong growth potential in its advanced and
and delivered solid financial performance to help specialty technologies in the next several years. Thus, Continue Provide excellent Pursue Maintain or
create long-term economic value, which allows the from 2021 to 2026, the Company expects its long- to invest in manufacturing revenue and improve profitability
term revenue growth, in US dollar terms, to have a technology services and market share and investment
Company to give back to all its stakeholders, including
leadership capacity growth returns
shareholders, investors, employees, customers, compound annual growth rate (CAGR) of 15 to 20%, support
suppliers/contractors, government/industry with a long-term gross margin to be 53% and higher,
associations, society, and others, and to drive positive and a return on equity (ROE) to be 25% and higher

14.9% 15 million 16.2% 19.9%


changes in society. across the cycle.

In an effort to better communicate with investors, Given the funding requirements to address the
CAGR in R&D Total capacity CAGR in net CAGR in net
TSMC strives to ensure the transparency and business growth opportunities and the need to investment Note exceeded 15 million revenue Note income Note
timeliness of its financial information. In addition to maintain a solid financial foundation, since 2020, 12"-equivalent wafers
the regular disclosure of its latest financial results, the TSMC has issued a total of NT$311.5 billion in NT in 2022

Company also sets clear and measurable strategic dollar denominated corporate bonds and US$17.5
financial objectives and continues to deliver results
that are aligned with its long-term financial targets.
billion in US dollar denominated corporate bonds with
favorable pricing terms. Since the bond issuances, 8.2% 15.9% 30% 27%
In doing so, TSMC is able to strengthen investors' TSMC has continued to maintain the semiconductor CAGR in R&D CAGR in capital Of the world's Average ROENote
headcounts Note expenditures Note semiconductor
confidence in the Company's long-term investment industry's highest credit ratings.
excluding memory
output value produced
in 2022
2.5 trillion
AA- Aa3 twAAA
Cumulative cash
dividends from 2004 to
2022 (NT$)
Standard & Poor's (S&P) Moody's Ratings Taiwan Ratings
Ratings

Note: From 2013 to 2022

210
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Market Capitalization Unit: NT$ trillion


Since TSMC went public in 1994, the Company received a total of NT$11 per share in cash 20
has been profitable every year and its market dividends in 2022. From 2004 to 2022, TSMC has
capitalization has been growing steadily. As of paid out a total of NT$2.5 trillion, or US$81.5
December 31, 2022, TSMC's market capitalization billion, in cash dividends. 15
reached NT$11.7 trillion, or US$379.7 billion.
In the future, TSMC intends to maintain a
TSMC's solid financial performance enables the sustainable and steadily increasing cash 10
Company to distribute profits to shareholders in dividend, and to distribute the cash dividend
the form of cash dividends. In June 2021, TSMC's each year/quarter at a level not lower than the
Board of Directors approved the increase in year/quarter before. 5
quarterly cash dividend from NT$2.5 to NT$2.75
per share. Therefore, TSMC's shareholders
0
1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022

Financial Performance Unit: NT$ Billion


Cash Dividends

597 763 843 948 977 1,031 1,070 1,339 1,587 2,264 0.6 2.0 2.5 3.0 3.0 3.0 3.0 3.0 3.0 3.0 3.0 4.5 6.0 7.0 8.0 10.0 10.0 10.25 11.0
188 264 307 334 343 351 345 518 597 1,017
285
40% 259 259 266

207
30% 30% 182
28% 27%
26% 156
24% 24%
22%
21% 117

77 77 77 78 78 78 78 78
62
46
12

2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022
Revenue Net Income ROE Cash Dividend per Share (NT$) Total Dividend Paid (NT$ billiion) A complete history of cash dividends can
be found on TSMC's website

211
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Tax
TSMC supports the government in formulating Tax Risk Management the Controller to meet TSMC's tax obligations. In 2022 Tax BreakdownNote1
regulations that encourage enterprise innovation and addition, TSMC also leverages external tax service
TSMC is subject to tax laws and regulations in the
foster economic growth. The Company's tax policy is providers for complementary expertise.
various jurisdictions in which it operates or conducts
reviewed and approved annually by the Chief Financial
business. Any unfavorable changes in tax laws and TSMC's Audit and Risk Committee is delegated by 91.0% 5.1%
Officer, which aims to ensure transparency and
regulations in these jurisdictions could increase the Taiwan Asia
sustainability in the long term. the Board to oversee the quality and integrity of the
R.O.C. (excluding R.O.C.)
Company's effective tax rate and have an adverse accounting, auditing, reporting, and financial control
Tax Policy effect on its operating results. In order to effectively practices of the Company through periodic review of 3.9%
Act at all times in compliance with the spirit and manage tax risks, TSMC follows internal control certain major matters, including accounting policies North America
the letter of all applicable tax laws and regulations processes, identifies, assesses, and manages tax and procedures, internal control systems, legal
in the jurisdictions in which we operate. risks from regulatory changes and its business compliance, and corporate risk management, etc.
transactions, accounts for them appropriately, and NT$
Conduct inter-company transactions on an Among these, tax compliance is included as part of
arm's length basis and in accordance with the implements and monitors controls over them. the Company's legal compliance.
101.5 billion
9.8%
internationally accepted transfer pricing guidance Labor and Health
published by the OECD. Tax risk management is incorporated in TSMC's
Effective Tax Rate Insurance, Social
Be transparent in financial reporting, make enterprise risk management (ERM) system. The Security
disclosures in accordance with applicable risk management organization annually reports TSMC's effective tax rate and cash tax rate in 2022
85.3%
regulations and reporting requirements. to the Audit and Risk Committee on TSMC's key were 11.1% and 7.6%, respectively, both of which Corporate 4.9%
risks and mitigation efforts. For more details on were lower than the industry average effective tax Income Others
Do not use tax havens or tax structures whose sole Tax
purpose is tax avoidance. risk management, please refer to the 6.3 Risk rate of 15.9% and the industry average cash tax rate
Management section in TSMC's 2022 Annual Report. of 14.12% based on S&P Global CSA Handbook in
Do not transfer value created to low-tax
the Semiconductors and Semiconductor Equipment Income Tax Paid - 86.6 Billion (NT$)
jurisdictions.
Tax Governance industry group, and also lower than Taiwan R.O.C.
Develop strong, mutually respectful relationships statutory tax rate of 20%. This was primarily due to a
with tax authorities based on transparency and Taiwan R.O.C. 96.8% Asia (excluding R.O.C.) 2.4%
The ultimate responsibility for the taxation
five-year tax exemption for capital investments made North America 0.8%
trust. management of TSMC and its subsidiaries rests
in previous years, and tax credit for research and
Always consider tax as a part of major business with the Chief Financial Officer, who delegates day-
development expenditures according to regulations
decisions. to-day responsibility to the Controller. A team of Profit Before Tax - 1,144.2 Billion (NT$)
under the R.O.C. Statute for Upgrading Industries
Analyze the operating environment and assess tax qualified and experienced tax professionals supports
and Statute for Industrial Innovation.
risk through a corporate management mechanism. Taiwan R.O.C. 96.9% Asia (excluding R.O.C.) 3.0%
North America -0.5% Others 0.6%

101.5 billion >90% 1 8.1% Income Tax Accrued - 147.7 Billion (NT$)
In 2022, TSMC's total tax In 2022, over 90% of TSMC's revenue and Based on data provided by Taiwan TSMC's 2022 income tax payment
payments on a cash basis profit before tax were generated from its Economic Journal (TEJ) database, in Taiwan represented 8.1% of total
worldwide business operations in Taiwan. Meanwhile, TSMC was the largest corporate corporate income taxes collected by Taiwan R.O.C. 98.2% Asia (excluding R.O.C.) 1.4%
over 90% of its tax payments were also made income tax payer among all public the R.O.C. government Note 2 North America 0.4%
to the Taiwan R.O.C. government listed companies in Taiwan in 2022

Note 1: TSMC categorizes its profit before tax, income tax accrued, and taxes paid geographically based on the country in which TSMC and subsidiaries are located. 212
Note 2: Source: National Statistics, R.O.C. (Taiwan)
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Information Security
Information security and proprietary information Robust Information Security planning, monitoring, and management of information Implement Information Security
protection (PIP) are TSMC's commitments to customers, Governance security operations. TSMC has also established Culture and Build Management
suppliers, and employees. To strengthen corporate the PIP and Cybersecurity Committee and the IT
The Board of Directors has authorized the Audit Mechanisms
information security protection and management Security Committee to cooperate with the Company's
mechanisms, TSMC created the new role of Chief Committee to manage and oversee corporate information technology and related organizations to TSMC is fully committed to the TSMC Information
Information Security Officer in 2022 to take charge of information security. The Audit Committee is chaired strengthen corporate information security protection Security Declaration and continues to work on the five
information security policy and procedure planning, by Sir Peter L. Bonfield, an independent director and management mechanisms. Both committees are major areas of information security management. Over
monitoring, and management. The Company also with a background in information security. In 2022, chaired by the CISO and comprise VP-level executives the years, TSMC has consistently met the information
continues to perform information security risk analysis following the regulations of the Financial Supervisory who meet regularly to review and deliberate on security requirements of customers and third-party
and develop smart information security technologies Commission of Taiwan, TSMC appointed J.K Lin, Senior important information security and protection policies agencies. In 2022, TSMC's external audits concluded
and measures, including cloud information security Vice President of Information Technology, Material as well as project implementation. Every six months, that no major information security flaws, major
analysis, alarm summaries, the SOAR (Security and Risk Management, to take on the addition role the CIS executives report risk management measures violations, incidents of customer data breach and
Orchestration, Automation, and Response) system, etc., of Chief Information Security Officer (CISO). Lin is to the Audit Committee, including global information regulatory fines occurred. Moreover, there have been
to improve protection and monitoring performance. responsible for the overall planning and coordination security trends, corporate information security policies, zero complaints concerning breach of customer privacy
TSMC is also influencing the supply chain by working of company resources, communicating on information plans, and implementation results. The chair of the and loss of customer data from either third parties or
with SEMI to overcome information security challenges security policies and directions. TSMC has established Audit Committee also reports on the effectiveness regulatory bodies resulting in judicial action. By actively
in the semiconductor industry. Through four major a dedicated information security organization of information security supervision and risk control strengthening information security and PIP, TSMC hopes
directions, information security awareness and (Corporate Information Security, CIS), led by Director to safeguard market competitiveness and customers
measures to the Board of Directors.
protection standards in the industry are raised to James Tu, is responsible for the implementation, and partners' interests.
achieve the goal of sustainable operations.

TSMC Corporate Information Safety Five Major Areas of Information Security Management
Organization
Adopt International Professional Talent Strengthen Information Security Diverse
Information Security Cultivation and Employee Training and Social Communication
Standards Certification Management Engineering Drills Channels
Board of Directors
● Establish automated information ● Encourage information ● The PIP Working Committee, ● Require all employees ● Continue to produce awareness
security management security personnel to obtain comprised of representatives and suppliers to complete posters, lectures, and communication
system, obtain ISO/IEC 27001 top international information from each organization, meets information security training meetings to promote the importance
information security certification, security certification; in 2022, regularly to address employee programs each year of information security through diverse
Audit and Risk Committee and pass two external Continual TSMC employees acquired 47 feedback by proposing ● Utilize benchmark phishing means
Assessment Visit (CAV) Cyber Security Management management practices and email testing tools and ● Collect employees' opinions through
evaluations Act licenses, bringing the total suggestions in compliance with question databases; regularly information security suggestion boxes
● Continuously pass ISO/IEC 15408 to over 140 licenses organizational demands detect phishing emails and and regular questionnaires to craft and
facility security certification to ● Organize training courses on ● Provide comprehensive drill and test employees on roll out improvement plans
PIP and Cybersecurity create an optimal and safe wafer different topics based on the information security reporting common mistakes ● Take punitive action against employees
Committee manufacturing environment natures of the organizations channels and protection; for information security noncompliance
Corporate
● Comply with international and develop best practices collect employee feedback to based on the impact level
Information for information security assess outcomes, propose
Security standards to manage and ● Hold annual internal review meetings to
control workplace environments, operations, management, and improvement plans to
Organization control strengthen mechanisms for assess policy outcomes for the year and
information security, system draft goals for the coming year
IT Security Committee information security operations
operations, and product safety 213
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Perform Risk Assessment and Strengthen Information Security Measures Strengthen Information Security Operations and Management
TSMC regularly performs information security risk assessments and adopts a comprehensive layered TSMC has implemented the Business Continuity Management Policy to ensure business continuity. The
cybersecurity defense. In 2022, information security measures focused on cybersecurity control, 24-hour Cybersecurity Incident Response Center (CIRC) is responsible for coordinating related efforts
information asset management, access control and safety, and workplace security items to strengthen and ensuring the effectiveness of control measures in compliance with the information security incident
information security defense. notification procedures. In 2022, new measures were added, including strengthening cloud information
security analysis and alarm summaries for overseas facilities, introducing automatic response systems
Major Information Security Items and Measures with preset responses that are automatically executed, etc. In addition, TSMC continues to organize
cybersecurity drills, focusing in 2022 on ransomware, remote access, and weaknesses in overseas facilities.
The Company also worked with third-party experts to conduct penetration testing and red team vs.
Cybersecurity Control
blue team drills to enhance information protection and monitoring. In addition to internal measures to
● Enhance the security and convenience of web browsing, strength email security and
protecting against phishing emails and malware strengthen information security at TSMC, the Company recognizes that companies are currently facing
● Strengthen KPI management for cloud security posture management dangerous landscapes and have, therefore, invested in information security insurance as the last line of
defense to reduce risks of information security incidents.

Information Asset Management Strengthen Supplier Information Security


● Introduce endpoint smart terminal protection to detect, analyze, and automatically respond
to malware attacks TSMC seeks to strengthen information security across the supply chain in four areas: first, establishing
● Introduce zero downtime patching solutions to strengthen the protection of important standards; second, assessment & collaboration; third, advocacy; and fourth, risk management. In 2022, the
servers information security practices of 659 suppliers were evaluated, of which 481 received a grade of A and 326
● Integrate automatic information security evaluation into the development process suppliers were able to bring up their grades by one or more levels within six months. The reach of TSMC's
platform to improve application security
Supply Chain Security Newsletter was also expanded. In 2022, four issues were published with a readership
● Build information security designs into applications to strengthen security and repair
of 350,000. TSMC also assisted SEMI in establishing the SEMI Cybersecurity Committee. Chaired by Dr.
weaknesses
James Tu, Head of Corporate Information Security at TSMC, the SEMI Cybersecurity Committee advocates
information security solutions based on the zero trust model to strengthen supply chain resilience. In 2022,
Access Control and Safety the Committee rolled out four major directions to strengthen information security in the industry, using
● Manage information by defining different levels and categories to bolster protection third-party risk assessment tools to create the SEMI Semiconductor Cyber Security Risk Rating Service
and access control dedicated to semiconductor suppliers. The rating service can help suppliers compare baselines, monitor
postures, and drive the industry toward a better future.

Workplace Security
● Install multi-layered workplace monitoring and detection equipment to ensure security
control

214
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

2022 Information Security Measure Enforcement Results

Policies Violations Information Security Certification Survey

16 Regulations 0.04% 7 Fabs Obtained ISO/IEC 15408 Certification 94 Points


Added/amended ● 0.04% of employees were found in Fab 12B, Fab 14A, Fab 15A, Fab 15B, Advanced Backend Fab 2A, 2B and ● Obtained an average score of 94 for employee approval of
violation of information security protection Advanced Backend Fab 5 received ISO/IEC 15408 EAL6 certification information protection-related policies
and PIP procedures and penalized under Common Criteria (Site Certification) from the German Federal ● Collected over 54,000 responses with a response rate of
2022 16 according to the severity of the damage Office for Information Security (BSI)
over 89%
2021 11
● Corrective measures: Additional training
and promotion campaigns and better 2022 94 points
10 management of information access
2020
control and transmission authorization
ISO/IEC 27001 Information Security Certification 2021 94 points
Client IP merge, mask manufacturing, inventory management, and
2020 95 points
related IT management to support 12-inch wafer manufacturing

Information Security Information Security Team


Assessment Professional Certificate
4 Customer Security Audits Employee Feedback

99 Points 47 Certificates Worked with two major clients through on-site information security

Third-party evaluation on TSMC's


information security resulted in a score of
TSMC information security team
obtained 47 international certificates
audits to help them obtain ISO/IEC 15408 certification for their
products (produced by TSMC) and ensure information protection 103 Reports
during wafer manufacturing Received a total of 103 reports from employees
99 in 2022, over industry average score

Training/Promotion Programs

100 % 100 % 19 Posters 61,136 Employees 12 Social Engineering Drills


All new employees, a total All new vendors, a total of over Produced 19 awareness posters to ● All employees completed the annual online information Conducted 12 email phishing and
of over 10,000 individuals, 70,000 individuals, completed PIP promote important regulations and security refresher course, which covered: social engineering drills with more than
completed information security training courses announcements regarding information – Major events and new 250,000 employees
and protection training courses protection and information security regulations Unit: people

2022

2021
100%

100%
2022

2021
100%

100%
2022

2021
19 posters

38 posters
– Case studies on
information security
violations
2022

2021
70,054

61,136
4 Supply Chain Security
Newsletters
Published four issues with a total of
– Policy search and more than 350,000 recipients
2020 100% 2020 100% 2020 32 posters 2020 52,818
consultation channels

Note: 2022 indicators cover TSMC's Taiwan fabs and overseas subsidiaries

215
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Appendix
About this Report 217
Sustainability Information Disclosure Framework 221
Global Reporting Initiative Index
United Nations Global Compact Index
Task Force on Climate-related Financial Disclosures Index
Sustainability Accounting Standards Board Index
WEF IBC Stakeholder Capitalism Metrics Index
Climate-related Information of Listed Companies 222
Participation in Industry Associations and Non-Profit Organizations 224
ESG Performance Summary 226
Independent Third Party Assurance Statement 233
Contact Information 234

216
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

About this Report


Adhering to the vision of Uplift Society, TSMC has been issuing non-financial reports for 24 consecutive Reporting Scope
years. In addition to complying with Global Reporting Initiative (GRI), TCFD Recommendations, Sustainability
The reporting scope includes all TSMC fabs in Taiwan (the corporate headquarters and all wafer fabs and
Accounting Standards Board (SASB), the report also heeds expectations and suggestions from various
backend fabs in Taiwan), TSMC (China), TSMC (Nanjing), WaferTech, VisEra, and other subsidiaries. Changes from
stakeholders with systematic communication channels in the Company's daily operations and uses
last year include an expansion to Fab 12 Phase 8, Fab 18 Phase 6, 7, and 8 in Taiwan. If the scope of reporting is
materiality analysis to adjust sustainable management practices and align with international sustainability
different from the above statement, a note will be added to explain any differences in this paragraph.
standards. The annual Sustainability Report has become an important tool for managing ESG practices
internally and a way to disclose mid- and long-term strategies, practices, and progress. TSMC collaborates
Primary Changes in the 2022 ESG Disclosures
with employees, shareholders/investors, customers, vendors/contractors, the government/associations,
and society to strive for a sustainable future.
Disclosure
Adopt GRI Universal Standards 2021
Reporting Period Guidance

The reporting period is between January 1, 2022 and December 31, 2022. The report is published in June
2023 in both English and Chinese and is available on TSMC ESG website. The report covers major topics of Include GRI 3: Material Topic 2021, Double Materiality and Dynamic Materiality.
Materiality
stakeholders concerns as well as TSMC practices in the economic, environmental, social, and governance The analysis frequency is adjusted to once every two years, and TSMC 2021-
Analysis 22 Materiality Analysis Report is updated simultaneously.
dimensions. Visit the following links for more historical data and information:

Disclosure
Expand to include four new fabs
Boundaries

ESG Performance
ESG Website ESG Newsletter Publish 48 case studies all year round on corporate sustainability to provide
Summary/Video Updates
real-time updates on the Company's progress toward sustainability

Theme
Continue to publish the third TCFD Report and the second SDGs Action Report
Reports

f TSMC LinkedIn TSMC TCFD Report


TSMC UN SDGs
Action Report Climate Disclose climate change related information in dedicated chapter in
Change compliance with Taiwan Stock Exchange. Corporation Rules Governing the
Information Preparation and Filing of Sustainability Reports by TWSE Listed Companies

217
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

● Complete data collected ◐ Partial data collected


Data Collection Boundaries for Sustainable Development Issues - The issue lacks materiality to the institution and is not included in the boundary of data collection

Boundaries
Taiwan FacilitiesNote1 WaferTech TSMC (China) TSMC (Nanjing) VisEra Other SubsidiariesNote2
Issues

Innovation Management ● - ● ● - ◐

Product Quality and Safety ● ● ● ● ◐ ◐

Customer Relations ● ● ● ● ◐ ●

Sustainable Supply Chain ● ◐ ◐ ◐ ◐ -

Climate and Energy ● ● ● ● ● ●

Water Stewardship ● ● ● ● ● -

Circular Resources ● ● ● ● ● -

Air Pollution Control ● ● ● ● ● -

Diversity and Inclusion ● ● ● ● ● ●

Talent Attraction and Retention ● ● ● ● ● ●

Talent Development ● ● ● ● ● ●

Human Rights ● ● ● ● ● ●

Occupational Safety and Health ● ● ● ● ● -

Social Impact ● - ◐ ◐ - -

Note 1: TSMC's fabs in Taiwan include corporate headquarters, wafer fabs, testing and assembly facilities
Note 2: TSMC subsidiaries or offices in North America, Europe, Japan, South Korea, and other countries

218
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Report Writing and Quality Management Process

Engagement Planning Editing Review Communication

Compile, edit, and revise in compliance


Inclusivity Launch Kick-off Meeting with eight reporting principles set forth by ● Confirm sustainable activities External Communication
the GRI, TSMC's 21 quality indicators and and performances by the Channels
Communicate with stakeholders to ● Deliver a comprehensive plan the SMART principle organization
identify reasonable expectations ● Audit information accuracy ● TSMC Website and ESG Website
● Define the main theme and
content of the Report Long-term Sustainability Goals ● TSMC ESG Newsletter
● Establish editing and revision Division-Level Managers ● Email to Stakeholders
guidelines Review the 2030 Sustainability ● TSMC ESG Facebook
Materiality Goals in accordance with the
● Communicate matters that ● TSMC LinkedIn
require collaborations for audit SMART principles
Identify issues with significant
● Verify information and data ● Supply Online 360
impact to TSMC's operations and ● Specific ● Customer Newsletter
sustainability development and of ESG Department VPs and Above Executives
● Measurable ● Government's Public Disclosure
stakeholders' concerns of TSMC Organizations
Platform
● Achievable
● Realistic
● Preliminary and on-site paper
Employee
● Time-bound audit by third parties
Stakeholder Concerns

Engagement Ideas ● Raise improvement items from Sustainability


audit findings Report
Develop the Six Major Quality
Checklists Independent Verification
Internal Cross-Functional
Organization
Meetings
Ensure compliance with 21 Internal Communication
quality indicators Channels
Impact on TSMC's Operations
● Share new trends and
● Review and finalize report
approaches
● Accuracy ● EDM to All Employees
● Share the annual plan for
improvements ● Balance ESG Committee Chairperson ● myTSMC Internal Website
Comprehensiveness
● Report case studies ● Clarity ● ESG Award Website
Define material issues boundaries ● Plan the ESG Newsletter ● Comparability ● ESG Steering Committee
and data collection ● Reliability ● Supervise and Guide ● ESG Committee
ESG Committee Team ● Timeliness ● Internal Cross-Functional
Assigned Writer Board of Directors Meetings for ESG

Note: Please refer TSMC ESG Website for Certification and Verification
219
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Report Writing Guidelines and Principles

Certification
Standards
Organization

GRI Standards DNV GL Business Assurance Co.


Ltd. certified this Report
TCFD Framework
ESG SASB Index for the
In compliance with the DNV Feedback
VeriSustainTM Protocol, GRI
Semiconductor Industry If you have any feedback, advice, or suggestion on this report or TSMC's
Standards, SASB Index, and the
AA1000 AccountAbility Principles TCFD Framework sustainable development, please feel free to contact us. For more
The International Integrated information about TSMC's latest sustainability practices, please subscribe
Reporting Framework to the TSMC ESG Newsletter.

CDP Climate Change/ Water


Security
Responsible Unit: ESG Committee
The United Nations Global
Compact (UNGC)
ESG Website: https://esg.tsmc.com/en/
The United Nations Sustainable
Development Goals (UN SDGs) Email: ESG@tsmc.com
WEF IBC Stakeholder Capitalism
Metrics Phone: +886-3-5636688

Address: 8, Li-Hsin Rd. 6, Hsinchu Science Park, Hsinchu 300-78,


Taiwan, R.O.C

Certification
Standards
Organization

IFRSs endorsed by the Financial Deloitte & Touche


Supervisory Commission (FSC)
Financial with the effective dates
Information Regulations Governing the
Preparation of Financial Reports
by Securities Issuers

220
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Sustainability Information Disclosure Framework


Dedicated to driving more positive changes, the TSMC Sustainability Report follows the editorial standards to their principles of reporting disclosure (to establish a systematic management process for reports) and
of the Global Reporting Initiative (GRI), AccountAbility (AA), United Nations (UN), Carbon Disclosure Project indicators (environmental, social and governance indicators) to report TSMC's sustainability information
(CDP), International Integrated Reporting Council (IIRC), Sustainability Accounting Standards Board (SASB), and data. TSMC utilizes sustainability information disclosure as a proactive management tool to serve as
Financial Stability Board (FSB), World Economic Forum International Business Council (WEF IBC) and refers a guide for organizations to stay in alignment with international sustainability management trends.

GRI AA UN CDP IIRC


GRI Standards AA1000 AccountAbility Global Compact Climate Change and International Integrated
Principles Water Security Reporting Framework

E S G E S G E S G E S G E S G

SASB UN FSB WEF IBC


Semiconductor Industry Sustainable Development TCFD Recommendations Stakeholder Capitalism
Indicators Goals Metrics

E S G E S G E S G E S G

E Environmental S Social G Governance Sustainability Disclosure Standards Sustainability Disclosure Indicators

221
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Climate-related Information of Listed Companies


Items Execution Status

1 Description on the Board and Management's oversight and governance on climate-related risks and opportunities See TCFD―Governance

Description on how the identified climate risks and opportunities impact the company's business, strategies, and finance (short, mid, long- See TCFD―Strategies & Climate, Change Risks/Opportunities and
2
term) Response Measures

3 Description on the impact extreme climate events and transitional actions have on finance See TCFD―Strategies

See TCFD―Risk Management


Description on how the climate risk identification, assessment, and management process is integrated in the overall risk management
4
system

See TCFD―Strategies
Should scenario analysis is used to assess the Company's resilience in face of climate change risks, explanations on the scenario,
5
parameters, hypothesis, analysis factors and major financial impacts should be provided

See TCFD―Metrics and Targets


Should there be transitional programs in response to managing climate-related risks, please explain the program's content and metrics
6
and targets used to identify and manage physical and transitional risks

7 Should the internal carbon pricing is used as the planning tool, the pricing mechanism should be explained See TSMC Carbon Pricing Mechanism

Should climate-related targets are in place, information such as their scope of action, GHG emissions, planned timeline, and yearly
8 achieved progress should be stated; for targets achieved through carbon offset and RECs, the source of offset amount and number of See TCFD―Metrics and Targets
RECs should be stated

9 Carbon inventory and assurance efforts See chart on the next page

222
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Scope 1 Scope 2
Assurance Assurance
Year Area
Total Emissions Emission Intensity Total Emissions Emission Intensity Institutes Efforts
(metric tons CO2e) (metric tons CO2e/NT$ thousand) (metric tons CO2e) (metric tons CO2e/NT$ thousand)

the Parent Company 1,669,770 0.0007 9,510,082 0.0042 DNV Reasonable level

Visera 5,845 0.0006 29,683 0.0033 DNV Reasonable level

2022 TSMC (China) 187,181 0.0066 0 0 DNV Reasonable level

TSMC (Nanging) 46,209 0.0011 0 0 DNV Reasonable level

WaferTech, LLC 109,784 0.0107 0 0 AWN Limited level

the Parent Company 1,808,427 0.0011 8,116,439 0.0052 DNV Reasonable level

Visera 7,282 0.0008 39,057 0.0043 DNV Reasonable level

2021 TSMC (China) 196,834 0.0093 0 0 DNV Reasonable level

TSMC (Nanging) 29,778 0.0011 0 0 DNV Reasonable level

WaferTech, LLC 105,346 0.0136 0 0 AWN Limited level

Note 1: Greenhouse Gases include carbon dioxide (CO2), methane (CH4), nitrous oxide (N2O), hydrofluorocarbons (HFCs), perflourocarbons (PFCs), sulfur hexafluoride (SF6), and nitrogen trifluoride (NF3)
Note 2: Scope 1 (direct emissions) are emissions based on the 2019 Refinement to the 2006 IPCC Guidelines for National Greenhouse Gases Inventories directly owned or controlled by the Company, and the calculation uses the Global Warming Potential (GWP) from IPCC's Fifth Assessment Report; Scope 2 (indirect
emissions) are indirect GHG emissions from purchased electricity, heat, and steam
Note 3: New additions to the 2022 emissions boundary include Fab 12 Phase 8, Fab 18 Phase 5, Fab 18 Phase 6, Advanced Backend Fab 2C, and Advanced Backend Fab 6

223
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Participation in Industry Associations and Non-Profit Organizations


Note 1

As a key player in the global semiconductor supply


chain, TSMC works diligently to integrate the
Industry Dialogue and Development
ESG ethos into our daily operations with the aim
of sustainable development, setting systematic
management strategies, taking tangible action
and reviewing results. TSMC works with partners TSMC strives for the development of the semiconductor industry. Through TSMC Chairman Dr. Mark Liu served as Chairman of TSIA for 4 consecutive years since

and stakeholders from all walks of life to carry participating in industry associations, the Company forges consensus, facilitates 2019, chaired the World Semiconductor Council for 2 consecutive years since 2021, and

out our environmental and social commitments collaboration, defines standards, develops talents, and makes policy suggestions to currently serves as director of CNAIC. Senior Vice President Y.P. Chin currently serves as

and continue bringing positive change to the the government in areas including land, water, electricity, talent, intellectual property chairperson of TSIA's Energy Committee, Vice President Dr. Y.L. Wang currently serves

world. TSMC participates in a variety of industry protection and other areas related to the competitiveness of the industry. Industry as chairperson of TSIA's Industry and University Committee, Director Han-Wen Fung

associations and public policy related nonprofit associations that TSMC participates in to steer industry development include: currently serves as chairperson of TSIA's Environment, Safety and Health Committee,

organizations to promote industry dialogue and and Director Dr. Cheng-Ming Lin currently serves as chairperson of TSIA's JSTC
● Taiwan Semiconductor Industry Association (TSIA)
development, as well as track key issues such as Committee. Vice President Dr. Douglas Yu currently serves as co-chair of SEMI Taiwan's
● Semiconductor Industry Association (SIA)
technology innovation, corporate governance, Packaging & Testing Committee, Senior Director Hsiao-Chin Tuan served as chairperson
● Semiconductor Equipment and Materials International (SEMI)
environmental sustainability, human rights, and of SEMI Taiwan's MEMS & Sensors Committee, Director Dr. John Lin currently serves
● Global Semiconductor Alliance (GSA)
supply chain managementNote 2. In 2022, TSMC as chairperson of SEMI Taiwan's IC Committee, Director M.D. Chen currently serves
● The Allied Association for Science Park Industries
participated in 72 industry associations and non- as chairperson of SEMI Taiwan's Materials Committee, and Director Dr. James Tu
● Chinese National Association of Industry and Commerce, Taiwan (CNAIC)
profit organizations at home and abroad, with currently serves as chairperson of SEMI Taiwan's Cyber Security Committee. Senior Vice
● Monte Jade Science & Technology Association of Taiwan
expenditures of over NT$70.94 millionNote 3 . Total President Rick Cassidy currently serves on the board of GSA. Senior Vice President Y.P.
● Taiwan Electrical and Electronics Manufacturers' Association (TEEMA)
expenditures in the past five years (2018~2022) Chin currently serves as executive director of The Allied Association for Science Park
● Center for Asia-Pacific Resilience and Innovation (CAPRI) Industries, and Vice President Connie Ma served as executive controller of The Allied
were about NT$197.58 millionNote 4, 5.
● Information Technology Industry Council (ITI) Association for Science Park Industries.Note 6 Senior Vice President J.K. Lin currently serves
● National Committee on United States-China Relations as director of TEEMA. Vice President and General Counsel Sylvia Fang currently serves as
● Greater Phoenix Chamber of Commerce director of CAPRI. Vice President Peter Cleveland currently serves as director of ITI.

Note 1: Non-profit organizations in the areas of charity and education are not included here. For 2) Information Technology Industry Council (ITIC)/NT$11,934,000 4) Semiconductor Industry Association (SIA)/NT$4,420,960 Note 4: TSMC's expenditures of membership and donation for
details of TSMC's participation in the TSMC Charity Foundation and TSMC Education and The United States is one of TSMC's primary markets. TSMC TSMC participates in the SIA to join other industry members to industry associations and nonprofit organizations between
Culture Foundation, please see pages 181 to 203 of this report participates in the ITIC in the U.S. to join other global technology collectively communicate with the U.S. government and highlight 2018 and 2022 were NT$21,735,668, NT$20,338,992,
Note 2: By law, TSMC is not permitted to make political donations as the Company is majority companies to discuss policy trends and industry standards related the importance of the semiconductor industry to U.S. economic NT$40,197,059, NT$44,367,769, and NT$70,943,042,
owned by foreign shareholders. TSMC has always followed this legal requirement and to technology industry development, and to communicate with the development, national security, and global competitiveness respectively
maintained political neutrality but encourages employees to fulfill their civic duty U.S. and global governments on the importance of technology to 5) Allied Association for Science Park Industries/NT$1,980,000 Note 5: In addition to the expenditures disclosed in Note 4, TSMC's
the global economy TSMC participates in the Allied Association for Science Park government relations expenses in 2022 amounted to
Note 3: The five largest membership fees paid or donations made by TSMC in 2022, in descending
order, are: 3) Taiwan Semiconductor Industry Association (TSIA)/NT$5,679,000 Industries, which serves as a conduit between government and NT$83,836,350, with the primary expense being employee
TSMC participates in the TSIA to support Taiwan's semiconductor business for promulgation of policies and communication of views. payroll. TSMC did not make any political donations in the
1) Center for Asia-Pacific Resilience and Innovation (CAPRI)/ NT$ 12,000,000
industry, develop consensus on the development of the industry It serves the common interests of companies in Taiwan's science reporting period. In the past five years (from 2018 to
TSMC participates in CAPRI to explore global policy and promote innovative governance
through the association's activities and promote healthy growth for parks and facilitates cooperation for the stable development of 2022), TSMC did not make any political donations or other
by drawing on the experience of the Asia-Pacific region through comparative research
the sector through cooperation amid competition science park businesses spendings related to ballot measures or referendums
on health, innovation, sustainability, and the economy
Note 6: Since November 2022, Vice President Connie Ma retired
from TSMC
224
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Environmental Human Rights and Supply


Technology Innovation Corporate Governance
Sustainability Chain Management

Technology innovation is the key driving force TSMC advocates and acts upon the principles Responding to climate change and mitigating Respecting human rights and creating a
moving the industry and economy forward. TSMC of operational transparency and respects climate impact to protect our shared global respectful workplace are critical to TSMC and
not only cares for and invests in technology shareholder rights. Based on strong environment, TSMC integrates green management our suppliers. TSMC is a full member of the
innovation and participates in the definition of governance foundation, TSMC believes into daily operations and continues to enhance Responsible Business Alliance, and in addition
technical standards, the Company also calls on in leadership integrity and adopts ethics, climate and energy, water stewardship, circular to meeting the alliance's requirements in
the government and private sector to protect the regulatory compliance, and risk management resources, and air pollution control through auditing suppliers, we have also asked our
results of innovation together so that it can gain mechanisms into daily business operations. introducing innovative green technologies. The suppliers to strictly comply with local regulations
appropriate economic value and encourage further TSMC participates in industry associations in Company's goal is to become the global standard to safeguard human rights. TSMC requires all
innovation, creating a fair competitive environment. the area of corporate governance including: of eco-friendly corporations. To achieve the suppliers to commit to the Assurance to Comply
TSMC participates in industry associations in the commitment of Net Zero Emissions by 2050, TSMC with TSMC's Code of Ethics and Business
area of technology innovation including: ● Asia Business Council mobilizes supply chain partners to reduce carbon Conduct and in July 2021, TSMC issued a
● Asian Corporate Governance Association footprint, creating a green supply chain for the statement titled Supply Chain Partners Should
● Epoch Foundation (ACGA) semiconductor industry. TSMC participates in Ensure Protection of Human Rights During the
● K.T. Li Foundation for Development of Science and ● Chinese Professional Management Association industry associations and non-profit organizations COVID-19 Pandemic to ensure a dignified work
Technology in the area of environmental sustainability including: environment. TSMC participates in industry
● Association of Certified Fraud Examiners,
● Taiwan Association for Trade Secrets Protection Taiwan Chapter associations in the area of human rights and
(TTSP) ● Taiwan Association for Net Zero Emissions supply chain management including:
● Information Technology and Innovation Foundation ● Taiwan Climate Partnership
(ITIF) ● Taiwan Institute for Sustainable Energy/Taiwan
● Responsible Business Alliance (RBA)
● Global Women's Innovation Network Center for Corporate Sustainability ● Responsible Minerals Initiative
● JEDEC ● Science and Technology in Society Forum
● RE100
TSMC Chairman Dr. Mark Liu currently serves
● Business Council for Sustainable Development of
as director of both Epoch Foundation and K.T.
Taiwan
Li Foundation for Development of Science and
● Common Wealth Sustainability Council
Technology. TSMC Vice President and General
Counsel Sylvia Fang jointly founded the Taiwan
TSMC is among the first members of Taiwan
Association for Trade Secrets Protection (TTSP) in
Association for Net Zero Emissions, Taiwan Climate
2015 and served as its chairperson for the first two
Partnership, and Common Wealth Sustainability
terms to help promote legal reform of Taiwan's
Council. Senior Vice President J.K. Lin currently serves
trade secret laws and regulations. Currently she is
as vice chairman of Taiwan Association for Net Zero
an executive director of the TTSP. Associate General
Emissions. Senior Vice President Lora Ho currently
Counsel Dr. F.Y. Shieh currently serves as vice
serves as director at the Taiwan Center for Corporate
chairman of TTSP.
Sustainability.

225
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

ESG Performance Summary


Key Indicators 2020 2021 2022

Revenue (NT$ billion) 1,339 1,587 2,264

Net income (NT$ billion) 518 597 1,017

Income tax expense (NT$ billion) 67 66 127


Operational and
Economics Cash dividend (NT$ billion) 259 266 285

R&D expenditures (NT$ billion) 109 125 163

Capital expenditures (NT$ billion) 507 839 1,083

R&D expenses to revenue (%) 8.2 7.9 7.2

Global patents granted 45,162 50,506 56,693

Registered trade secrets 100,000 160,000 240,000

Value generated from improvement projects (NT$ billion) 15 12 13

Encourage all major local raw materials suppliers to participate in the Taiwan Continuous
79 64 74
Improvement Competition (%)

Encourage back-end packaging materials suppliers to participate in the Taiwan Continuous


46 67 60
Improvement Competition (%)

Local and back-end packaging materials suppliers that advanced to the finals of the Taiwan
- 16 17
An Innovation Pioneer Continuous Improvement Award (%)

New innovative testing methods for product quality and reliability - 254 272

Complete quality and reliability certification during the design stage for advanced processes, specialty
Completed Completed Completed
processes, and wafer-level packaging processes in compliance with the TSMC technological roadmap

Develop analytical abilities for carcinogenic, mutagenic, and reprotoxic substances and assist the suppliers that
100 100 100
supply materials with potential risks in developing such abilities (%)

NMP replacement rate (%) (Base year: 2016) 59 75 97.2

Photoresist substitutes that do not


Ensured that all 3nm process and
Selected PFHxA substitutes and contain PFHxA- related substances
Ensure that manufacturing processes are free from PFASs with more than 4 carbon atoms beyond are free from PFASs with
launched production line testing failed to pass production line testing;
more than 4 carbon atoms
to select new substitute materials

(continued on the next page) 226


Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

(continued from the previous page)

Key Indicators 2020 2021 2022

Tier 1 suppliers' completion rate of the Sustainability Management Self-Assessment Questionnaire (%) 100 100 100

Critical suppliers' completion rate for receiving third-party audits (by RBA-certified auditing
40 60 100
institutions) every three years (%)

Total number of critical high-risk suppliers that have received audits for the S.H.A.R.P. Program - 86 100

Requires suppliers to conduct due diligence for responsible mining; % of legally compliant mines 100 100 100

Audit at least three suppliers for due diligence in responsible mining each year - 3 5

Total number of suppliers audited for due diligence in responsible mining - 3 8

Diversify facilities and assess new suppliers in compliance with the multi-source program (Base year:
70 109 135
2018)

Cumulative total of local raw materials suppliers receiving consultation on process advancement
45 55 65
and quality improvement (Base year: 2016)
A Responsible
Raw materials suppliers invited to observe the annual emergency response drill (Base year: 2016) 111 132 161
Purchaser
Total number of suppliers that participated in the ESH training program (Base year: 2016) 558 759 960

Critical high-risk suppliers that received safety and health support (%) 100 100 100

Increase local sourcing of indirect raw materials (%) 60 60.4 62.1

Increase local sourcing of parts and components (%) 45 46.6 43.0

Total energy conserved by helping suppliers (GWh) (Base year: 2018) 2.1 3.4 5.3

Total water conserved by helping suppliers (metric tons) (Base year: 2020) 2,130,000 19,710,000 29,080,000

Score and reponse rate of suppliers invited to participate in the CDP (Carbon Disclosure Project)
- - C/81%
in the year

High-energy-consumption suppliers that have received ISO 14064 certification for GHG emissions (%) - 51 65

Waste reduction in major waste-generating suppliers (%) (Base year: 2014) 29.4 31 34

(continued on the next page)

227
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

(continued from the previous page)

Key Indicators 2020 2021 2022

Greenhouse gas emission (metric ton-CO2 equivalent) (Scope 1 and Scope 2 market-based) 9,464,696 10,304,344 11,599,089

Scope 1 (metric ton-CO2 equivalent) Note1 2,004,841 2,151,937 2,018,789

Taiwan sites 1,710,677 1,808,427 1,669,770

SubsidiariesNote2 294,164 343,510 349,019

Scope 2 (metric ton-CO2 equivalent) (market-based) 7,459,856 8,152,497 9,539,765

Taiwan sites 7,429,951 8,116,440 9,510,082


Note2
Subsidiaries 29,905 36,057 29,683

Scope 2 (metric ton-CO2 equivalent) (location-based) 8,282,509 9,196,964 10,887,145

Scope 3 (metric ton - CO2 equivalent) Note3 5,511,486 6,049,256 7,502,136

Carbon offset (metric ton - CO2 equivalent) 4,125 241,577 616,271


A Practitioner of
Green Power Fluorinated greenhouse gas emission (metric ton - CO2 equivalent) 1,311,530 1,369,478 1,102,353

Reduction rate of GHG emissions per unit product compared to the base year (metric ton - CO2
23 5 6
equivalent - 12-inch equivalent wafer mask layer) (%)

Energy consumption (GWh) (including electricity, natural gas and diesel) 16,919 19,192 22,423

Direct energy consumption (GWh) (including natural gas and diesel) 861 1,112 1,336

Indirect energy consumption (GWh) (non-renewable energy) 14,828 16,409 18,895

Indirect energy consumption (GWh) (renewable energy) 1,230 1,671 2,191

Renewable energy used at all TSMC fab operation sites (%) 7.6 9.2 10.4

Renewable energy used at overseas subsidiaries (%) 100 100 100

Total energy conserved from new energy saving measures since 2016 (GWh/y) 17 24 31

Energy efficiency after volume production - 16nm technology - - -

(continued on the next page)

228
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

(continued from the previous page)

Key Indicators 2020 2021 2022

Energy efficiency after volume production - 10nm & 7nm technology 1.4 (4th year) 1.5 (5th year) -

Energy efficiency after volume production - 5nm technology - 0.2 (2nd year) 0.6 (3rd year)

Days of production interrupted due to climate disasters 0 0 0

NOx emissions (metric tons) 170.36 205.57 159.87

SOx emissions (metric tons) 38.13 39.48 37.78

VOC emissions (metric tons) 106.8 107.7 112.9

Reduction in air pollutant emissions per unit of production (%) 45 54 59

Reduction rate of volatile organic gases (%) 98.3 98.4 98.9

Number of unusual events reported in air pollution prevention equipment 0 0 0


A Practitioner of
ISO 14001 certified sites number 23 24 27
Green Power
ISO 14001 certified sites percentage (%) 100 100 100

Water consumption (million metric tons) 77.3 82.8 104.6

Taiwan sites 70.6 76.1 96.8


Note2
Subsidiaries 6.7 6.7 7.8

Process water recycling rate (%) 86.4 85.4 85.7

Total water saving (million metric tons) 173 186.3 215.7

Ultra-pure water usage (million metric tons) 102.4 109.5 132.1

Tetramethylammonium hydroxide (TMAH) Note 3 6.3 5.5 3.8

Copper ion (Cu2+)Note 3 0.07 0.07 0.06

(continued on the next page)

229
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

(continued from the previous page)

Key Indicators 2020 2021 2022

Reduction % in unit water consumption (liter/12-inch equivalent wafer mask layer) (Base year: 2010) 8.9 14.9 2.6

% of water pollution composite indicator above effluent standardsNote 3 42.4 42.5 54.3

Outsourced unit waste disposal per wafer (kg/12-inch equivalent wafer mask layer) 1.01 0.99 0.99

Waste recycling rate (%) 95 95 96

Taiwan sites 95 95 96

SubsidiariesNote2 77 85 92

Waste generated (metric tons) 575,740 674,703 744,019

Outsourced General waste generated 277,340 335,080 342,804

Taiwan sites 269,640 326,069 331,499


A Practitioner of
Green Power SubsidiariesNote2 7,700 9,011 11,305

Outsourced Hazardous Waste generated 298,400 339,623 401,215

Taiwan sites 280,635 319,763 373,419

SubsidiariesNote2 17,765 19,860 27,796

Develop multiple types of electronic-grade chemicals for resource recycling within TSMC - % of
22 22 28
resource recycling within facilities

Waste treatment vendors that have obtained ISO 14001 or other international EHS Management
80 82 84
certifications (%)

Waste treatment vendors establishing a smart system for


- - 9
tracking waste (%)

Waste treatment vendors graded as Excellent and Good (%) 75 77 80

(continued on the next page)

230
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

(continued from the previous page)

Key Indicators 2020 2021 2022

Global Full-time Employees (people) 56,825 65,133 73,090

Employee training hours 926,379 3,185,784 5,077,993

Females in all employees (%) 37.1 35.4 34.4

Females in management (%) 12.5 13 13.3

Females in junior management (%) 13 13.4 13.6

Females in top management (%) 10 8.3 6.1

Females in newly hired technicial professionals (%) - 21.3 23.7

Turnover rate (%) 5.3 6.8 6.7

New hire (< 1 year) turnover rate (%) Note 4 15.7 17.6 15

Voluntary turnover rate (%) 5.1 6.7 6.5


An Admired Employer
Total compensation amongst industry peers Top 25% Top 25% Top 25%

Management positions filled through internal promotions (%) 79.3 82.5 88.6

Management positions filled through internal promotions (%) 45.2 57.6 57.6

Employees fully committed to their work (%) 96 - 93

Employees willing to continue working for TSMC in the next five years (%) 95 - 90

Goals for the issue of sustainably engaged from the Engagement Survey in comparison to the WTW
- Missed top 50% -
Global High Performance Norm

Injury frequency rate Note 5 0.42 0.38 0.27

Injury severity rate Note 6 4 7 3

Occupational fatalities - employees 0 0 0

(continued on the next page)

231
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

(continued from the previous page)

Key Indicators 2020 2021 2022

Occupational fatalities - contractors 0 0 0

RBA Self-Assessment Questionnaire (SAQ) scores in all facilities 100 100

Incident rate per 1,000 employees 0.311 0.252 0.145


An Admired Employer
Cases of occupational disorders caused by exposure to chemicals 0 0 0

Contractors that have obtained ISO 45001 certification for occupational safety and health
60 65 65
management system with help from TSMC (%)

Total participants in youth competitions 1,551 1,614 2,388

Promotional events on semiconductor sciences 5 6 13

Sponsor outstanding local artists or groups Suspended due to COVID-19 12 12

Hours of reading services 5,060 4,910 2,060

Annual cash donations to the disadvantaged (NT$ million) 1,210 2,263 1,529
Power to Change
Children in remote areas that have benefited from TSMC programs 3,279 5,287 6,358
Society
Service visits to seniors living alone by Network of Compassion 10,855 15,719 16,471

Meals delivered by Network of Compassion - 304,477 355,692

Promote filial piety education 57 64 68

Annual beneficiaries of the Cherish Food Program 37,071 58,862 48,143

Volunteer service from environmental protection volunteers 1,044 794 499

Note: Figures from all Taiwan fabs and subsidiaries of TSMC Note 4: Since 2021, the statistic of new hire (< 1 year) turnover rate included VisEra
Note 1: To ensure consistent data in GHG inventory and reduction goals after 2020, Note 5: Safety ‒Injury Frequency Rate=Injury Number x 1,000,000/Total hours worked
inventory data for Scope 1 will comply with the 2019 Refinement to the 2006 According to the Occupational Safety and Health Act, Disabling Injury Frequency Rate are defined as any diseases, injuries, disabilities, or deaths of workers caused by buildings, machinery, equipment, raw materials,
IPCC Guidelines for National Greenhouse Gases Inventories starting from materials, chemicals, gases, vapors, dusts, etc., at the place of duty, or as a result of work activities, or due to other occupational causes. Other unrelated injuries in the workplace such as falling in the cafeteria or
2020 and the base year also change from 2010 to 2020 parking lot due to various reasons are not considered as work injuries. Target has been amended according to new definition. See Statistical Analysis of Disabling Injuries for detailed information
Note 2: The scope of subsidiaries in Environmental parts includes WaferTech, TSMC Note 6: Safety ‒Injury Severity Rate=Lost Work Days x 1,000,000/Total hours worked
China Company Limited, TSMC Nanjing Company Limited and VisEra According to the Occupational Safety and Health Act, Disabling Injury Frequency Rate (FR)/Disabling Severity Rate (SR) are defined as any diseases, injuries, disabilities, or deaths of workers caused by buildings,
Note 3: Figures from all Taiwan Fabs of TSMC machinery, equipment, raw materials, materials, chemicals, gases, vapors, dusts, etc., at the place of duty, or as a result of work activities, or due to other occupational causes. Other unrelated injuries in the workplace
such as falling in the cafeteria or parking lot due to various reasons are not considered as work injuries. Target has been amended according to new definition. See Statistical Analysis of Disabling Injuries for detailed
information

232
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Independent Third Party Assurance Statement

Independent assurance statement • Review of information provided to us by TSMC on its reporting and management processes relating to Materiality
the Principles; The materiality determination process was revalidated based on survey from key stakeholders including
• Interview with selected Directors and senior managers responsible for management of sustainability employees, customers, suppliers / contractors, NGOs, governments, shareholders, investors, regulatory
issues and review of selected evidence to support issues discussed. People who worked in functions for bodies, local communities and senior management of TSMC and has not missed out any significant and
Scope and approach known material issues about the Semiconductor Sector. A methodology has been developed to evaluate the
financial, legal, environment (including climate change & energy, air emission, water resource, chemical
Taiwan Semiconductor Manufacturing Company Ltd. (‘TSMC’ or ‘the Company’) commissioned DNV Business and waste management), human resource, safety, procurement, wellness, product development, priority of these issues and identified priority issues are fairly covered in the Report. An internal assessment
Assurance Co. Ltd. (“DNV”) to undertake independent assurance of the 2022 Sustainability Report (the information security, intellectual property, trade secret and TSMC cultural and educational foundation process for monitoring and management on a continual basis for their long-term organisational
“Report”) for the year ended 31 December 2022. were chosen to interview; sustainability has been established.
• Site visits to HQ in Taiwan, remoting meeting with other production sites to review process and systems Completeness
We performed our work using DNV’s assurance methodology VeriSustain TM 1 , which is based on our for preparing site level sustainability data and implementation of sustainability strategy. Sites chosen
professional experience, international assurance best practice including International Standard on Assurance were based on materiality issues; The Report has fairly attempted to disclose the generic disclosures and management approaches and
Engagements 3000 (ISAE 3000) and the Global Reporting Initiative (GRI) Sustainability Reporting Standards. performances of identified material topics for GRI Standards 2021. The reporting of performance and data
• Review of supporting evidence for key claims and data in the report. Our checking processes were
are within the Company’s reporting boundary and reporting period except for certain material topics. A
prioritised according to materiality, and we based our prioritisation on the materiality of issues at a
The Report also incorporated the relative sustainability reporting guidelines, such as Sustainability Accounting system to report the performances of material topics are being established and set the internal timelines for
consolidated corporate level;
Standards Board (SASB) Semiconductors Sustainability Accounting Standard and Recommendations of the disclosure.
• Review of the processes for gathering and consolidating the specified performance data and, for a
Task Force on Climate-related Financial Disclosures (TCFD).
sample, checking the data consolidation. Where financial data had been checked by another third party, Accuracy and Reliability
and, where data of scope 1, 2 and 3 of Green House Gases Emission has been verified by DNV, we The majority of data and information verified at the Corporate Office and sampling operational sites were
We evaluated the performance data using the reliability principle together with TSMC data protocols for how
the data are measured, recorded and reported. The performance data in scope was against TSMC’s significant
tested transposition from these sources to the report; Where relevant data and information has been found to be accurate and nothing came to our attention to suggest that reported data have not been
Environmental, Social and Governance (ESG) issues and the 2030 sustainability commitment and the topics generated from a certified management system note which data and management system certification properly collated from information reported at operational level, nor that the assumptions used were
set forth in the GRI standards 2021. and that this was considered; inappropriate. Some of the data inaccuracies identified during the verification process were found to be
• An independent assessment of TSMC’s reporting against the Global Reporting Initiative (GRI) Standards attributable to transcription, interpretation and aggregation errors and the errors have been communicated
We understand that the reported financial data and information are based on data from TSMC’s Annual 2021. for correction.
Report and Accounts, which are subject to a separate independent audit process. The review of financial data • There was a confidential issue that we cannot assess the salary data. The verification was conducted
based only on the Chinese version Report. Inclusivity
taken from the Annual Report and Accounts is not within the scope of our work.
The Company has identified the expectations of stakeholders through internal mechanisms in dialogue with
We planned and performed our work to obtain the evidence we considered necessary to provide a basis for Opinion different groups of stakeholders. The stakeholder concerns are well identified and documented. The
our assurance opinion. We are providing a ‘moderate / limited level’ of assurance. significant ESG issues identified through this process are reflected in the Report.
On the basis of the work undertaken, nothing came to our attention to suggest that the Report does not Responsiveness:
properly describe TSMC’s adherence to the Principles.
Responsibilities of the Directors of TSMC and of the assurance providers TSMC 2022 Sustainability Report meets the content requirements of the GRI Standards 2021. The report
provides an accurate and fair representation of the level of implementation of related ESG policies.
TSMC has developed its own data management system for capturing and reporting its ESG performances. In
accordance with DNV VeriSustain Protocol requirements for a moderate / limited level assurance The Company has adequately responded to stakeholder concerns through its policies, ESG Committee, and
The Directors of TSMC have sole responsibility for the preparation of the Report. In performing our
engagement, we conclude that no systematic errors were detected which causes us to believe that the quarterly / annual financial report, and this is reflected in the Report.
assurance work, our responsibility is to the management of TSMC; however, our statement represents our
independent opinion and is intended to inform all of TSMC stakeholders. DNV was not involved in the specified sustainability data and information presented in the Report is not reliable. Impact
preparation of any statements or data included in the Report except for this Assurance Statement.
The Company presents the impacts related to its identified material topics by measuring and monitoring
DNV provides a range of other services to TSMC, none of which constitute a conflict of interest with this impacts through appropriate performance metrics demonstrating outcomes and outputs of its value
assurance work.
Observations creation processes. Nothing has come to our attention to suggest that the Report does not meet the
requirements related to the Principle of Impact.
DNV’s assurance engagements are based on the assumption that the data and information provided by the Without affecting our assurance opinion, we also provide the following observations.
client to us as part of our review have been provided in good faith. DNV expressly disclaims any liability or
The following is an excerpt from the observations and opportunities reported back to the management of For and on behalf of DNV Taiwan
co-responsibility for any decision a person or an entity may make based on this Assurance Statement.
TSMC. 18 May, 2023
• Considering the completeness, balance and transparency of data disclosure, it would be advisable
Basis of our opinion
to disclose the environment information and establish targets (i.e. reducing discharge or emission)
of domestic and overseas facilities with same scope and depth.
A multi-disciplinary team of sustainability and assurance specialists performed work at headquarters and
• To effectively achieve resource cycling goals and performance, it would be beneficial to include Wu, Johnny David Hsieh
site level. We undertook the following activities:
overseas facilities in this effort. Currently, only TSMC's Taiwan factory appears to be prioritizing this Lead Verifier District Manager,
aspect. Business Assurance Business Assurance
• Review of the current sustainability issues that could affect TSMC and are of interest to stakeholders;
DNV Taiwan DNV Taiwan
• Review of TSMC approach to stakeholder engagement and recent outputs;
Statement Number: C594569-2022-TWN-AG-DNV
Sustainability Context -------------------------------------------------------------------------------------------------
Sustainability Report provides an accurate and fair representation of the level of implementation of related
1
The VeriSustain protocol is available on dnv.com ESG policies and meets the content requirements of the GRI Standards 2021.

233
Overview Sustainable Business Practices Operations and Governance Appendix
2022 Sustainability Report
An Innovation Pioneer A Responsible Purchaser A Practitioner of Green Power An Admired Employer Power to Change Society

Contact Information
Taiwan Facilities Subsidiaries

Wafer Fabs TSMC North America TSMC Japan 3DIC R&D Center, Inc.
2851 Junction Avenue, San Jose, CA 95134, U.S.A. 2F, 7D Bldg., West, 16-1 Onogawa, Tsukuba, Ibaraki, 305-8569,
Corporate Headquarters & Fab 12A Fab 14A Tel: +1-408-3828000 Fax: +1-408-3828008 Japan
8, Li-Hsin Rd. 6, Hsinchu Science Park, 1-1, Nan-Ke North Rd., Southern Taiwan Science Park, Tel: +81 45 682 0670
Hsinchu 300-096, Taiwan, R.O.C. Tainan 741-014, Taiwan, R.O.C. TSMC Europe B.V.
Tel: +886-3-5636688 Fax: +886-3-5637000 Tel: +886-6-5056688 Fax: +886-6-5051262
World Trade Center, Zuidplein 60, 1077 XV Japan Advanced Semiconductor Manufacturing, Inc.
R&D Center & Fab 12B Fab 14B Amsterdam, The Netherlands 6F, Shimotori NS Building 1-3-8 Shimotori, Chuo-ku,
168, Park Ave. 2, Hsinchu Science Park, 17, Nan-Ke 9th Rd., Southern Taiwan Science Park, Tel: +31-20-3059900 Kumamoto-shi, Kumamoto 860-0807, Japan
Hsinchu 300-091, Taiwan, R.O.C. Tainan 741-014, Taiwan, R.O.C.
Tel: +886-3-5636688 Fax: +886-3-6687827 Tel: +886-6-5056688 Fax: +886-6-5055217 TSMC Japan Limited TSMC Design Technology Canada Inc.
21F, Queen's Tower C, 2-3-5, Minatomirai, Nishi-ku 1000 Innovation Drive, Suite 400, Kanata, ON K2K 3E7, Canada
Fab 2, Fab 5 Fab 15A Yokohama, Kanagawa, 220-6221, Japan Tel: +613-576-1990
121, Park Ave. 3, Hsinchu Science Park, 1, Keya Rd. 6, Central Taiwan Science Park, Tel: +81-45-6820670
Hsinchu 300-096, Taiwan, R.O.C. Taichung 428-303, Taiwan, R.O.C.
Tel: +886-3-5636688 Fax: +886-3-5781546 Tel: +886-4-27026688 Fax: +886-4-25607548 TSMC Technology, Inc TTI
TSMC China Company Limited 2851 Junction Avenue, San Jose, CA 95134, U.S.A.
Fab 3 Fab 15B 4000, Wen Xiang Road, Songjiang, Shanghai, China Tel: +1-408-3828000
9, Creation Rd. 1, Hsinchu Science Park, 1, Xinke Rd., Central Taiwan Science Park, Postcode: 201616
Hsinchu 300-092, Taiwan, R.O.C. Taichung 428-015, Taiwan, R.O.C. Tel: +86-21-57768000 WaferTech L.L.C.
Tel: +886-3-5636688 Fax: +886-3-5781548 Tel: +886-4-27026688 Fax: +886-4-24630372 5509 N.W. Parker Street Camas, WA 98607-9299 U.S.A.
TSMC Nanjing Company Limited Tel: +1-360-8173000 Fax: +1-360-8173009
Fab 6 Fab 18A
16, Zifeng Road, Pukou Economic Development Zone,
1, Nan-Ke North Rd., Southern Taiwan Science Park, 8, Beiyuan Rd. 2, Southern Taiwan Science Park,
Tainan 741-014, Taiwan, R.O.C. Tainan 745-093, Taiwan, R.O.C.
Nanjing, Jiangsu Province, China TSMC Arizona Corporation
Tel: +886-6-5056688 Fax: +886-6-5052057 Tel: +886-6-5056688 Fax: +886-6-5050363 Postcode: 211806 5088 W. Innovation Circle, Phoenix, AZ 85083, U.S.A.
Tel: +86-25-57668000 Tel: +1-602-567-1688
Fab 8 Fab 18B
25, Li-Hsin Rd., Hsinchu Science Park, 8, Beiyuan Rd. 2, Southern Taiwan Science Park, TSMC Korea Limited VisEra Technologies Company Limited
Hsinchu 300-094, Taiwan, R.O.C. Tainan 745-093, Taiwan, R.O.C. Rm 2104-2105 west, Hanshin Inter Valley 24 Building, 322, 12, Dusing Rd. 1, Hsinchu Science Park, Hsinchu 300-096,
Tel: +886-3-5636688 Fax: +886-3-5662051 Tel: +886-6-5056688 Teheran-ro, Gangnam-gu, Seoul 06211, Korea Taiwan, R.O.C.
Tel: +82-2-20511688 Tel: +886-3-666-8788 Fax: +886-3-666-2858
Backend Fabs TSMC Design Technology Japan, Inc.
10F, Minatomirai Grand Central Tower, 4-6-2, Minatomirai,
Advanced Backend Fab 1 Advanced Backend Fab 5 Nishi-ku, Yokohama, Kanagawa 220-0012, Japan
6, Creation Rd. 2, Hsinchu Science Park, 5, Keya W. Rd., Central Taiwan Science Park, Tel: +81-45-6644500
Hsinchu 300-077, Taiwan, R.O.C. Taichung 428-303, Taiwan, R.O.C.
Tel: +886-3-5636688 Fax: +886-3-5773628 Tel: +886-4-27026688 Fax: +886-4-25609631

Advanced Backend Fab 2 Advanced Backend Fab 6


1, Sanbaozhu Rd., Southern Taiwan Science Park, No.1, Kezhuan 1st Rd., Zhunan Township,
Tainan 741-013, Taiwan, R.O.C Miaoli County 350-012 Taiwan, R.O.C.
Tel: +886-6-5056688 Fax: +886- 6-5057223 Tel: +886-3-5636688

Advanced Backend Fab 3


101, Longyuan 6th Rd., Longtan Dist.,
Taoyuan City 325-002, Taiwan, R.O.C.
Tel: +886-3-5636688 Fax: +886-3-4804250
234
Copyright© Taiwan Semiconductor
Manufacturing Company Limited
2022-2023. All Rights Reserved.

You might also like